Systemverilog(绿皮书)第六章——随机化(四)数组的约束

在约束随机标量 的同时,我们还可以对随机化的数组进行约束

class dyn_size;
    rand logic [31:0] d[];            //随机化d[]数组;
    constraint d_size (d.size() inside {[1:10]};)    //调用d.size对数组长度范围进行约束
endclass

动态数组分别可以对其长度和内容做随机化处理。此外,还可以通过在约束中结合数组的其它方法sum(), product(), and(), or()和xor()。

class good_sum5;
    rand uint len[];
    constraint c_len{
        foreach (len[i]) len[i] inside {[1:255]};
        len.sum() < 1024;
        len.size() inside {[1:8]};
    }
endclass

本题目中,要求随机出来的数组 元素满足(1)个数在1-8 之间;每个数组的数值大小在1-255之间;每个数组的求和要小于1024。

class UniqueSlow;
    rand bit 
  • 3
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值