计数器

本文档详细介绍了从基本的二进制计数器到带置位/暂停功能、模N、加/减及1赫兹计数器的设计任务,涵盖复位、置位、暂停和计数方向控制等知识点,旨在提升嵌入式硬件开发者的计数器建模能力。
摘要由CSDN通过智能技术生成

第1关:二进制计数器

任务描述
本关任务:完成4位二进制计数器的建模,采用低电平同步复位信号。

知识点
为了完成本关任务,需要掌握的知识点如下:

普通二进制计数器
N位二进制计数器是一种带有时钟和复位输入,N位计数值输出的时序逻辑电路。电路符号和原理图如图1所示。

在这里插入图片描述

第2关:带置位/暂停功能的二进制计数器

任务描述
本关任务:完成4位二进制计数器的建模,采用低电平同步复位信号。计数器具有置位和暂停功能。其中,置位信号为同步低电平有效,暂停信号为同步高电平有效。电路图如图1所示,真值表如图2所示。

在这里插入图片描述

第3关:模N计数器

任务描述
本关任务:完成模10计数器(也称为BCD计数器)的建模,采用低电平同步复位信号。</

  • 4
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Ssaty.

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值