占空比50%,5次分频器,VHDL语言设计

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity div is
port(clk:in std_logic; k_or,k1,k2:out std_logic);
end;
architecture bhv of div is
signal c1,c2:std_logic_vector(2 downto 0);
signal m1,m2:std_logic;
begin
process (clk,c1) begin
if rising_edge(clk) then
if (c1="100") then c1<="000"; else c1<=c1+1;end if;
if (c1="001") then m1<=not m1; elsif (c1="011") then m1<=not m1;end if;
end if;
end process;
process (clk,c2) begin
 if falling_edge(clk ) then 
 if (c2 = "100") then c2<="000" ; else c2<=c2+1 ; end if;
 if (c2="001") then m2<=not m2 ; elsif (c2="011") then m2<=not m2;
 end if; end if;
 end process;
 k1 <=m1; k2<=m2;k_or <=m1 or m2;
 end bhv;

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值