4.3 verilog中的function用法与例子

函数的功能和任务的功能类似,但二者还存在很大的不同。在 Verilog HDL 语法中也存在函数的定义和调用。 

1.函数的定义 
函数通过关键词 function 和 endfunction 定义,不允许输出端口声明(包括输出和双向端口) ,但可以有多个输入端口。函数定义的语法如下: 
function [range] function_id; 
   input_declaration 
   other_declarations 
   procedural_statement 
endfunction

其中,function 语句标志着函数定义结构的开始;[range]参数指定函数返回值的类型或位宽,是一个可选项,若没有指定,默认缺省值为 1 比特的寄存器数据;function_id 为所定义函数的名称,对函数的调用也是通过函数名完成的,并在函数结构体内部代表一个内部变量,函数调用的返回值就是通过函数名变量传递给调用语句;input_declaration 用于对寒暑各个输入端口的位宽和类型进行说明,在函数定义中至少要有一个输入端口;endfunction为函数结构体结束标志。

下面给出一个函数定义实例。定义函数实例。 
function  AND;   //定义输入变量 
input A, B; //定义函数体 
begin 
   AND = A  && B; 
end 
endfunction

函数定义在函数内部会隐式定义一个寄存器变量, 该寄存器变量和函数同名并且位宽也一致。函数通过在函数定义中对该寄存器的显式赋值来返回函数计算结果。此外,还有下列几点需要注意: 
(1)函数定义只能在模块中完成,不能出现在过程块中; 
(2)函数至少要有一个输入端口;不能包含输出端口和双向端口; 
(3) 在函数结构中, 不能使用任何形式的时间控制语句 (#、 wait 等) , 也不能使用 disable中止语句; 
(4)函数定义结构体中不能出现过程块语句(always 语句) ; 
(5)函数内部可以调用函数,但不能调用任务。 

 

2.函数调用 
和任务一样,函数也是在被调用时才被执行的,调用函数的语句形式如下: 
func_id(expr1, expr2, ........., exprN) 
其中,func_id 是要调用的函数名,expr1, expr2, ......exprN是传递给函数的输入参数列表,该输入参数列表的顺序必须与函数定义时声明其输入的顺序相同。下面给出一个函数调用实例。

函数调用实例。 

module comb15 (A, B, CIN, S, COUT);  
input [3:0] A, B; 
input CIN; 
output [3:0] S; 
output COUT; 
wire [1:0] S0, S1, S2, S3; 

function signed [1:0] ADD; 
input A, B, CIN;
reg S, COUT; 
begin 
S = A ^ B ^ CIN; 
COUT = (A&B) | (A&CIN) | (B&CIN); 
ADD = {COUT, S}; 
end 
endfunction 
 
assign S0 = ADD (A[0], B[0], CIN), 
S1 = ADD (A[1], B[1], S0[1]), 
S2 = ADD (A[2], B[2], S1[1]), 
S3 = ADD (A[3], B[3], S2[1]), 
S = {S3[0], S2[0], S1[0], S0[0]}, 
COUT = S3[1]; 
endmodule

在函数调用中,有下列几点需要注意: 
(1)函数调用可以在过程块中完成,也可以在 assign 这样的连续赋值语句中出现。 
(2)函数调用语句不能单独作为一条语句出现,只能作为赋值语句的右端操作数。
--------------------- 
作者:a14730497 
来源:CSDN 
原文:https://blog.csdn.net/a14730497/article/details/8032804 
版权声明:本文为博主原创文章,转载请附上博文链接!

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: Verilog function 是一种可重复使用的代码块,它可以返回一个值。它可以有输入和输出参数,也可以没有。 使用方法: 1. 定义 function: ```verilog function [return_type] function_name(input_parameter1, input_parameter2, ...); // function body endfunction ``` 2. 调用 function: ```verilog output_variable = function_name(input_value1, input_value2, ...); ``` 注意: function 在编译时会被预处理,所以不能在其使用时间相关的语句。 示例代码: ```verilog function [7:0] adder(input [7:0] a, input [7:0] b); adder = a + b; endfunction module test; reg [7:0] x, y, z; initial begin x = 8'h01; y = 8'h02; z = adder(x, y); $display("z = %d", z); end endmodule ``` 输出结果为:z = 3 ### 回答2: Verilog是一种硬件描述语言,用于设计数字电路和系统。它支持不同的语言结构,例如module、always块、if语句、for循环、while循环和function。其function是一种具有返回值的顺序代码块,可在不同的地方调用执行。下面将详细介绍Verilogfunction用法。 定义function function在module定义,由以下语句构成: function [return_type] [name] ([input_list]); input [input_type] [input_name]; [output_type] [output_name]; [code] return [value]; endfunction,return_type指定返回值类型,name为function名称,input_list为输入参数列表,可以是多个input对象,用逗号隔开。input_name和output_name指定输入和输出参数名称。[code]为function体,它包含一些顺序代码块,通过return语句返回一个value值。注意:function不能使用延迟语句或过程控制语句。 调用function 可以在模块内或模块外调用function。当在模块外调用时,function必须在包含它的模块的区域内可见。调用function的语法如下: [output_type] [output_name] = [function_name] ([input_list]); 其,output_name为输出参数名称,function_name为function名称,[input_list]是以逗号分隔的输入参数列表。function返回值将赋给output_name对象。 实例 下面是一个简单的Verilog function示例: module example (input a, input b, output c); reg c; function [int] add (input [15:0] x, input [15:0] y); int sum; sum = x + y; return sum; endfunction always @ (a, b) begin c = add(a, b); end endmodule 在此示例,定义了一个name为add的function,在function将输入参数x和y相加,并将结果作为返回值返回。在模块,使用always块处理器将a和b作为输入传递给add函数,并将得到的结果赋给变量c。关键字always用于生成组合逻辑电路,当输入信号(a或b)更改时,自动触发逻辑以重新计算和刷新输出信号c。 结论 在Verilogfunction是一种使用灵活的代码块,可以在不同的地方调用执行。function的输入和输出可以是任意类型的Verilog对象,因此可以方便地计算各种数字计算和逻辑操作的值。因此,functionVerilog设计必须学会的一种语言结构。 ### 回答3: Verilogfunction是一种模块化的组件,它可以接受输入并计算输出。和task不同的是,function只能返回一个值,而且不能改变模块的变量值。Function常用于返回计算结果或检查所提供的输入是否在设计规范范围内。 在Verilog声明一个function需要使用关键字“function”,后面跟着返回值的类型和函数名称,然后是输入参数及其类型。最后在函数语句块进行计算或判断,并返回一个结果。 下面是一个简单的function例子,它用于返回两个输入数的最大值: ``` function int max_value (input int a, input int b); if (a >= b) begin max_value = a; end else begin max_value = b; end endfunction ``` 这个function接受两个整型的输入参数a和b,然后使用if语句判断哪个数更大,并把更大的值赋给max_value,最终返回结果。 在使用function之前,需要先声明和定义此function。声明一个function可以在模块早先进行,如: ``` function int max_value (input int a, input int b); endfunction ``` 在这种情况下,只需要在代码实现function时,根据预定义好的声明使用函数名称和参数。 当需要使用function的计算结果时,可以直接调用函数名称并传递所需参数: ``` integer result; result = max_value(3, 5); ``` 在上述代码,调用max_value函数并传递值3和5作为输入参数,并将结果返回给result变量。 需要注意的是,在function内部定义的变量是局部变量,只在function内有效,无法改变模块的全局变量;并且一个function只能返回一个值,无法同时返回多个值。 总之,Verilogfunction是一种非常常用的可重用模块,它可以将复杂的计算或数据处理过程分割为较小的组件进行实现。这提高了设计的可读性和可维护性,同时也有助于提高设计的效率和灵活性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值