Verilog判断信号上升沿、下降沿

Verilog判断信号上升沿、下降沿

判断信号上升沿、下降沿往往作为整体程序比较关键的一环;
在实践应用中不能仅仅依靠几个对被判断信号的跟随进行判断,在实践电路中如果被判断信号是根据系统时钟上升沿变化的被检测信号跳变与时钟可能会因为竞争或其他因素影响导致会滞后时钟上升沿几纳秒;
如果对判断电平有及时性或固定延时或其他苛刻条件的话,仅仅依靠时钟上升沿来判断可能会导致至少一个时钟的误差。
根据上面描述的这些情况,这段程序充分利用了系统时钟的上升沿和下降沿,判断被检测电平变化的反应精准控制在信号到来后的半个时钟。

module jud 
(judh,
judl,
tp,
clk);

output judh;                  //上升沿判断
output judl;                  //下降沿判断
input  tp;                    //被检测电平
input  clk;                   //系统时钟

reg judh;
reg judl;

reg delayh;                   //用系统时钟的上升沿跟随被检测电平


always@(posedge clk)
 begin
  delayh<=tp;
 end
 
 always@(negedge clk)         //下降沿判断被检测电平的上升下降电平跳变
 if(tp==1&&delayh==0)
  begin
   judh<=1;
  end
 else
 if(tp==0&&delayh==1)
  begin
   judl<=1;
  end
 else
  begin
   judh<=0;
	judl<=0;
  end

 
endmodule

在这里插入图片描述
在这里插入图片描述

  • 3
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
根据引用\[1\]中的描述,当上升沿到来时,信号会从低电平变为高电平。因此,可以通过检测信号的电平变化来判断上升沿的到来。而对于下降沿的检测,可以通过引用\[2\]和\[3\]中的描述来理解。在这两个引用中,信号经过寄存器的处理后,产生了下降沿指示信号。在引用\[2\]中,通过对信号进行反向处理,可以得到下降沿指示信号。而在引用\[3\]中,通过对信号进行两次寄存器处理,再进行反向处理,同样可以得到下降沿指示信号。因此,可以通过这些方法来实现对下降沿的检测。 #### 引用[.reference_title] - *1* [Verilog实现上升、下降沿检测 FPGA](https://blog.csdn.net/qq_34022877/article/details/128226859)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [FPGA实现边沿检测电路(上升沿下降沿)](https://blog.csdn.net/wuzhikaidetb/article/details/112187021)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值