【Vivado——FPGA硬件调试(二)】 例化ILA核

本文介绍了在Vivado环境下如何通过在RTL代码中直接例化ILA核来实现FPGA硬件调试。通过一个简单的counter模块,详细阐述了设置ILA核参数、连接信号及在线抓取信号波形的步骤,帮助理解ILA核在FPGA调试中的应用。
摘要由CSDN通过智能技术生成

Vivado环境下,FPGA硬件调试方法很多,但常用方法主要围绕 ILA核展开。

ILA核简介

ILA是Vivado下的一个Debug IP核,类似于片上逻辑分析仪。在上一篇文章中,我们介绍了mark debug + set up debug,抓取信号的实时波形,调试工程;其中set up debug步骤,就是通过图形界面自动添加ILA核。

本文将介绍另一种方法:通过在RTL代码中直接例化ILA核,抓取想要观察的信号。下面以一个简单的counter模块为例,对Vivado(2014.1)下ILA核的使用进行说明。

1.   RTL代码

    module counter

    (

    input            clk,

    output   [3:0]  q

    );

 

    wire       clk;

     

    //想抓取cnt信号进行观察

    (* keep ="TRUE" *)reg [3:0]  cnt = 4'd0;

 

    assign   q = cnt;

 

 

    always@(posedge clk)

    begin

       cn

  • 3
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值