VHDL inout与buffer

VHDL中BUFFER与INOUT有什么区别呢?首先INOUT完全是双向的,也就是

INOUT:=IN+OUT,对INOUT属性的PIN既可以写出也可以读入,他有2个寄存器,如

...

port(a:inout std_logic);

...

signal ccc,ddd:bit

process(clk)

begin

...

a<=ccc;此时端口a为CCC的值

...

ddd<=a;读入端口a此时的信号

BUFFER: 一般比较少用,它实际上输出的功能与out一样,他只有1个寄存器,而此时读入的却是上次从该口输出的值,比如:

port(a:buffer std_logic);

...

signal ccc,ddd:bit

process(clk)

begin

...

a<=ccc;此时端口a为CCC的值

...

ddd<=a;读入到ddd的值其实等于ccc的值。(如果中间没有给a赋新值的话)。

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值