奇数分频

实现一个上升沿触发的占空比不为50%的n分频信号

在实现一个下降沿触发的占空比不为50%的n分频信号(n 为奇数)

将两路信号相或

module clkn(clr,clk,clkout);
input clr;
input clk;
output clkout;

reg rise_clkn;
reg down_clkn;
reg [3:0] rCount;
reg [3:0] dCount;
parameter nData=4'd5;  //用来控制奇数分频数

/***********************************************/
always@(posedge clk or negedge clr)
begin
    if(!clr)
        begin
            rise_clkn<=1'b1;
            rCount<=4'd0;
        end
    else
        if(rCount==nData)
            begin
                rCount<=1'b1;
                rise_clkn<=~rise_clkn;
            end
        else if(rCount==((nData-1'b1)/2))
            begin
                rise_clkn<=~rise_clkn;
                rCount<=rCount+1'b1;
            end
        else
            rCount<=rCount+1'b1;
       
end

/***************************************************/
always@(negedge clk or negedge clr)
begin
    if(!clr)
        begin
            down_clkn<=1'b1;
            dCount<=4'd0;
        end
    else
        if(dCount==nData)
            begin
                dCount<=1'b1;
                down_clkn<=~down_clkn;
            end
        else if(dCount==((nData-1'b1)/2))
            begin
                down_clkn<=~down_clkn;
                dCount<=dCount+1'b1;
            end
        else
            dCount<=dCount+1'b1;
       
end

assign clkout=rise_clkn || down_clkn;
endmodule

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值