序列检测

module sequence_detect
(
 input clk,
 input rst_n,
 
 input din_en,
 input din,
 
 output dout

);

//capture the posedge of din_en for data receive
reg din_en_r0,din_en_r1;

always@(posedge clk or negedge rst_n)
begin
 if(!rst_n)
  begin
   din_en_r0<=1'b0;
   din_en_r1<=1'b0;
  end
 else
  begin
   din_en_r0<=din_en;
   din_en_r1<=din_en_r0;
  end

end

wire din_flag=(~din_en_r1&& din_en_r0)?1'b1: 1'b0;

//******************************************************/

reg  [3:0] sequence_data;
always@(posedge clk or negedge rst_n)
begin
 if(!rst_n)
  begin
   sequence_data<=4'd0;
   dout<=0;
  end
 else if(din_flag)
  begin
   sequence_data<={sequence_data[2:0],din};
   dout<=(sequence_data==4'b1001)?1'b1:1'b0;
  end

end

endmodule
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值