verilog设计频率计液晶数码管显示

本设计可以应用于毕设,使用verilog HDL语言,在QuartusII平台下创建的工程。也可应用在vivado,ISE等软件环境下

本设计实现对输入的信号进行频率测量,然后通过数码管显示

下面是工程截图:代码均有注释

本工程顶层文件设计如下:

module freqtest(clock,clock0,dig,seg);
input         clock;                                //系统时钟
input         clock0;                                  //被测信号输入                    
output[7:0]    dig;                                //数码管选择输出引脚
output[7:0] seg;                                //数码管段输出引脚

reg [25:0]     counter;                               //时钟分频计数器
reg [31:0]     freq_result;                          //频率测量结果寄存器
wire [31:0]     pre_freq;                           //脉冲计数寄存器
reg            rst;
wire           divide_clk;                            //1Hz闸门信号
wire         clk_scan;    

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

芯作者

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值