使用verilog实现基于FPGA的TDC设计

verilog实现TDC,高精度时间数字转换器
时间数据转换器(TDC)常被用于测量时间间隔,被广泛应用于飞行时间测量领域。
分别在xilinx和altera的FPGA上实现TDC,下面有实现截图和仿真验证截图;
设计中使用到下述几个模块,包括FIFO,COUNT等,在xilinx下也使用到了一些原语等。下面有实现的顶层模块代码和仿真截图等。

顶层模块设计如下:
module top(
input wire start,
input wire stop,
input wire clk,
output wire TxD
);
wire done;
reg wr_fifo,flag1,flag2,flag3;
wire [9:0]op_fc1;
wire [9:0]op_fc2;
wire [15:0]op_cc;
reg [15:0] counter;
wire [63:0]dout;
wire transmit;
initial
begin
wr_fifo=0;
flag1=0;
flag2=0;
flag3=0;
counter=0;
end

Hybrid_Counter uut1(
.start(start),
.stop(stop),
.clk(clk),
.op_fc1(op_fc1),
.op_fc2(op_fc2),
.op_cc(op_cc)
//.done(done)
);

fifo uut2(
.clk(clk),
.op_fc1(op_fc1),
.op_fc2(op_fc2),
.op_cc(op_cc),

  • 3
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

芯作者

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值