【FPGA】双端口RAM的设计(异步读写)

上篇写了双端口RAM设计(同步读写):https://blog.csdn.net/Reborn_Lee/article/details/90647784

关于异步读写和同步读写,在单端口RAM设计中也提到过:https://blog.csdn.net/Reborn_Lee/article/details/90646285

这里就不再叙述了,总之就是和时钟无关了。


下面我们同样会给出Verilog设计和仿真验证。

有了同步读写的Verilog描述,异步简直易如反掌:

`timescale 1ns / 1ps
//
// Create Date: 2019/05/28 22:53:20
// Design Name: 
// Module Name: ram_dp_ar_aw
//


module ram_dp_ar_aw #(
parameter DATA_WIDTH = 8,
parameter ADDR_WIDTH = 8,
parameter RAM_DEPTH = 1 << ADDR_WIDTH
)(
input [ADDR_WIDTH - 1 : 0] address_0 , // address_0 Input
inout [DATA_WIDTH-1 : 0] data_0    , // data_0 bi-directional
input cs_0      , // Chip Select
input we_0      , // Write Enable/Read Enable
input oe_0      , // Output Enable
input [ADDR
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

李锐博恩

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值