基于FPGA的正弦信号产生器实现

17 篇文章 29 订阅 ¥39.90 ¥99.00

目录

1.算法仿真效果

2.verilog源码

3.算法概述

4.部分参考文献


1.算法仿真效果

vivado2019.2仿真结果如下:

2.verilog源码

%******************************************************************
%订阅用户可以获得任意一份完整代码,私信博主,留言文章链接和邮箱地址,
%一般第二天下午4点前会将完整程序发到邮箱中。
%******************************************************************
 
`timescale 1ns/1ns
module sinus_gen(
    input clk ,
    output reg signed [15:0] sinus
    );

    parameter SIZE = 1024;    

    reg [15:0] rom_memory [SIZE-1:0];
    integer i;

    initial begin
        $readmemh("sine.mem", rom_memory); //File with the signal
        i = 0;
    end    
    //At every positive edge of the clock, output a sine wave sample.

    always@(posedge clk)
    begin
       
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Simuworld

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值