FPGA学习心得——分频器

本文分享了FPGA学习者在设计分频器过程中的心得,包括利用PLL/DLL和硬件描述语言(VHDL)实现整数、半整数等不同类型的分频器。在设计中,强调了计数变量范围的确定和分频时钟的使用注意事项,如避免直接将分频信号设为Port的in端口。同时,提出了通过Signal和Reset触发或延时方法解决分频时钟问题。
摘要由CSDN通过智能技术生成

        分频器是FPGA设计过程中使用频率非常高的基本单元之一。其基于FPGA的实现主要包括:1、通过FPGA芯片内部集成锁相环(如altera的PLL,Xilinx的DLL)来进行时钟的分频、倍频以及相移设计;2、对于时钟要求不太严格的设计,可以通过硬件描述语言进行时钟分频。通过后者进行分频器设计可以实现节省锁相环资源,达到对时钟操作的目的。

        百度文库中可以搜到这样一片文章——《使用VHDL进行分频器设计》,文章中介绍了几乎所有可能用到的分频器(整数分频器、半整数分频器、小数分频器以及积分分频器)的设计,很好很强大。

        作为刚开始学FPGA的新手,把自己在学习过程中的一点心得记录下来,一则为了鼓励自己继续努力,认真钻研;二则也算是一种记录生活的方式吧。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值