SystemVerilog HVL:断言(System Verilog Assertion, SVA)介绍

本文介绍了SystemVerilog HVL中的断言(SVA)在数字IC验证中的应用,特别是在testbench中用于协议检验的角色。断言分为立即断言和并发断言,前者即时判断满足条件,后者关注时序。SVA在自检机制和功能覆盖方面发挥关键作用,特别是协议覆盖,确保testbench充分验证DUT的功能。
摘要由CSDN通过智能技术生成

验证环境中,对于数据的检查可以通过scoreboard实现,那么如何实现对协议的检查呢?

driver和monitor是基于某种时序进行激励驱动和采样的,所以就需要检验DUT的时序是否符合要求,这个是SVA断言的主要用途。


1. 断言在testbench扮演的角色

对于测试平台testbench来说,它需要对DUT的功能进行验证,testbench的主要功能有激励产生自检功能覆盖三点。

激励产生好说,就是driver

自检机制

指testbench能够自动地检验结果是否符合期望,包括协议检验数据检验

数据检验在scoreboard实现了,协议检验则是指对通信协议中的数据格式、时序等内容进行检验。

而SVA能够完成协议检验的内容。

功能覆盖

包括协议覆盖测试计划覆盖

协议覆盖用于衡量testbench是否穷尽了DUT的所有功能,个人感觉很类似于对testbench进行验证。

测试计划覆盖用于衡量testb

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Starry丶

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值