Systemverilog Verilog队列

可以在一个队列中任何地方增加或删除元素,这类操作在性能上的损失比动态数组小得多,因为动态数组需要分配新的数组并复制所有元素的值。队列与数组相似,可以通过索引实现对任一元素的访问,而不需要像链表那样去遍历目标元素之前的所有元素。

  • 队列的声明
    • 带有[$]的下标,队列元素的编号从0到$
    • q[$] = {0,2,5};
  • 队列操作
    •  q.insert(1,1);  // {0,1,2,5} 插入
    •  q.delete(0);  // {1,2,5} 删除
    • q.push_front(6);  // {6,1,2,5} 在队列前面插入
    • j = q.pop_back;  // {6,1,2}  j = 5 取出后面的元素
    • push_back / pop_front
    • q = {}  // 删除整个队列
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值