#systemverilog# 说说《队列》那些事儿

最近不断使用到systemverilog 语言编程中的队列语法。今天抽时间,尽肯能全的整理一下队列的相关用法,一是加固基础打牢,而是在代码编写过程中,提高代码水平。

队列是一种复合数据结构,可以用来存储多个数据的容器,但同时又拥有自己的优势特性。正如绿皮书所言,队列的引入,使得SV语言在建模时,更加灵活自如,它在功能上同时兼容了动态数组和链表。可以随意插入元素,可以随意弹出元素。另外,队列还提供了许多内建方法。队列不需要new 方式创建。

一 基本概念

队列声明和unpacked 数组是类似的,只是需要使用$符号来确定数组的大小。在队列中,下标0表示第一个元素,$表示最后一个entry。可以指定队列的长度,也可以不指定队列的长度。

bit    queue_1[$];     // 无边界 bit 队列
int    queue_2[$];     // 无边界 int 类型队列
byte   queue_3[$:255]; // 最多256个byte 元素,有界队列
int    queue_4[127:$]; // 编译错误
string queue_5[$];     // 字符串队列
bit [2:0] queue_6[$] = {3'b001,3'b010,3'b100} // 正确,声明同时赋值初始化

1.1 队列的初始化

队列的初始化不需要像数组那样在大括号前面加’

queue_1  = {0,1,2,3}; 
queue_5  = {“Red”,"Blue”,"Green”};
queue_6  = {3'b101,3'b011,3'b110}; //

queue_6[$]  = {3'b101,3'b01
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
下面是一个简单的 Verilog 实现,实现了一个 FIFO 循环队列,包括入队、出队和队列状态查询等功能。代码如下: ```verilog module FIFO ( input clk, input rst, input wr_en, input rd_en, input [7:0] data_in, output reg [7:0] data_out, output reg empty, output reg full ); parameter WIDTH = 8; parameter DEPTH = 16; reg [WIDTH-1:0] mem [0:DEPTH-1]; reg [WIDTH-1:0] head; reg [WIDTH-1:0] tail; reg [WIDTH-1:0] next_head; reg [WIDTH-1:0] next_tail; reg [WIDTH-1:0] count; assign empty = (count == 0); assign full = (count == DEPTH); always @(posedge clk) begin if (rst) begin head <= 0; tail <= 0; count <= 0; end else begin head <= next_head; tail <= next_tail; count <= count + wr_en - rd_en; end end always @(*) begin next_head = (head + wr_en) % DEPTH; next_tail = (tail + rd_en) % DEPTH; end always @(posedge clk) begin if (wr_en && !full) begin mem[head] <= data_in; end end always @(posedge clk) begin if (rd_en && !empty) begin data_out <= mem[tail]; end end endmodule ``` 在这个实现中,`WIDTH` 和 `DEPTH` 分别表示队列中每个元素的位宽和队列的深度。`data_in` 和 `data_out` 分别表示入队和出队的数据,`wr_en` 和 `rd_en` 分别表示写入和读取使能信号。`empty` 和 `full` 分别表示队列是否为空和已满。 该实现中使用了一个 `mem` 数组来存储队列中的元素,使用 `head` 和 `tail` 指针来标记队列的头和尾。`count` 变量表示队列中当前元素的数量。在每个时钟周期中,根据 `wr_en` 和 `rd_en` 的值更新队列的状态,并根据 `head` 和 `tail` 的值计算下一次操作时队列头和尾的位置。在写操作时,将 `data_in` 存储在 `mem` 数组中的 `head` 位置;在读操作时,将 `mem` 数组中的 `tail` 位置的值赋给 `data_out`。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值