verilog基础知识--同步、异步

在数字电路中经常有同步、异步的概念。异步指输入信号和时钟无关;同步指输入信号和时钟信号有关,实际上就是输入信号和时钟信号进行了与运算或者与非运算。实际开发中,经常有同步清零、异步清零、同步复位、异步复位等概念,下面就给与相关代码演示。

同步清零:
module dff(d,clk,clr,q);
input d,clk,clr;
output q;
reg q;
always@(posedge clk)
	if(!clr) q<=0;
	else q<=d;
endmodule
异步清零:
module dff(d,clk,clr,q);
input d,clk,clr;
output q;
reg q;
always@(posedge clk or negedge clr)
	if(!clr) q<=0;
	else q<=d;
endmodule

事实上,清零(复位)、置数、使能三个信号可以任意选取同步、异步两种方式之一,所以这三个信号可以任意组成八种不同功能的电路,像异步复位、同步置数、异步使能等



  • 8
    点赞
  • 60
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值