数字后端面试100问(校招版)

上次发布面试100问以后,应读者强烈要求,抽空写了一篇校招版的后端100问,希望对各位应届生面试有用。题目难度类型更偏向基础概念的理解。对于校招,由于在校学生缺乏实际项目经验,考官通常会更加注重学生对于在校所学知识的掌握,知识点的广度是很重要的。

一共整理了100个题目。内容涵盖时序,功耗,PD,PV,工艺,脚本等方面,难度由简入繁,分为5个等级,难度指数说明如下:

1:常识,这个都回答不了的话回家先闭门思过啦。学渣阶段。

2:简单,面试前稍微准备一下应该都能回答。学弱阶段。

3:一般,稍微有一点难度,属于可能答不全的问题。学民阶段。

4:较难,有难度的题目,通常要求有一定的综合性思考能力。学神阶段。

5:很难,非常有难度的题目,能回答出来的可以媲美普通工程师了。学霸阶段。

小编水平有限,有的题目难免会有错,大家可以把这100个题目用做面试前的练习题。如果这100个问题都能解答的话,offer离你就不远了。觉得有用的朋友可以多多转发哦!

PS:题目顺序随机排列,更易记牢

1. 请说一下数字后端主要做了什么事情?(概述性,难度1)

2. 请简单描述一下数字后端的基本流程?(概述性,难度1)

3. 请说一下CMOS集成电路工艺的大致步骤是什么?(工艺,难度2)

4. 请说一下memory的摆放规则?(floorplan,难度2)

5. welltap, endcap cell的作用,以及如何摆放?(place,难度2)

6. Place之后有timing violation,应该怎么办?(place,难度3)

7. CTS的目的是什么?怎么样是一个合格的clock tree? (CTS,难度3)

8. 为什么时钟树需要平衡?不平衡的时钟树有什么缺点?(CTS,难度2)

9. 什么是latch up效应? (工艺,难度2)

10. 芯片功耗主要包含哪些内容?(power,难度4)

11. 请写一段脚本,统计下图中人名出现的次数,并按从多到少次数排列,语言任意(脚本,难度3)

Tom

Jack

Brian

Brian

Jack

Jack

12. 请说一下标准单元的延迟与哪些因素有关?(时序,难度2)

13. 请说一下为什么需要对时序器件进行setup,hold检查?(时序,难度2)

14. 解释一下什么是transition?(时序,难度2)

15. 什么是RC寄生参数,为什么要提取RC寄生参数?(时序,难度2)

16. PVT全称是什么?如何影响我们的芯片?(时序,难度2)

17. timing path根据路径,一般可以划分为哪几种?(时序,难度2)

18. 综合主要做了什么事情?说一下具体综合的流程 (综合,难度3)

19. 工具在优化timing阶段,主要会修复哪些内容? (place, 难度2)

20. 请画一个CMOS反相器的结构图(工艺,难度1)

21. 什么是clock skew?如何计算的?(CTS,难度2)

22. 请写一下setup, hold slack的计算公式 (时序࿰

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值