VHDL实验二::半加器、一位和四位全加器(绘制原理图)

一、实验目的

1.进一步熟悉 ALTERA 公司 EDA 设计工具软件 Quartus II。

2.进一步熟悉 Quartus II 设计的原理图输入方法及设计流程。

二、实验原理

1 位全加器可以用两个半加器及一个或门连接而成,半加器原理图的设计方法很多,我

们用一个与门、一个非门和同或门(xnor 为同或符合,相同为 1,不同为 0)来实现。先设计

底层文件:半加器,再设计顶层文件全加器。

三、实验内容

在Quartus II软件中使用原理图输入法设计并实现一个1位全加器。在实验板上拨码开关作为输入设置,组成一个全加器,用实验板上的发光二极管作为输出,观察全加器输出随拨码开关置值的改变而引起的相应变化。

1.半加器原理图

  • 10
    点赞
  • 103
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值