verilog中几种常用的位操作函数

本文探讨了Verilog编程中的一种重要函数——clogb,它用于计算二进制数的对数上限。通过举例解释了clogb如何根据二进制数找到其移位次数,以达到特定效果。此外,还提及了与clogb相关的其他位操作,如统计二进制数中1的个数和计算不同数值的最长相同后缀位数。
摘要由CSDN通过智能技术生成

clogb

计算二进制的对数上限,例如:1000的对数上限是3,但是1001的对数上限变成了4,计算过程如下:
if argument =1000;
argument=1000-1=111;
移位操作进行三位,所以记录得到最后的clogb的值为3;

function integer clogb(input integer argument);
   integer i;
   begin
      clogb = 0;
      for(i = argument - 1; i > 0; i = i >> 1)
    clogb = clogb + 1;
   end
endfunction

//计算参数的基础根的顶点

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值