vivado FIFO的Standard 和 FWFT模式

20 篇文章 0 订阅

vivado FIFO的Standard 和 FWFT模式

Standard 模式读操作

对于标准 FIFO 读取操作,在断言读有效后,如果 FIFO 非空,存储在FIFO中的下一个数据被驱动到输出总线(dout)上和VALID标志有效。

下图显示标准模式下的读数据。
当您将至少一个字写入FIFO时,empty被取消,表示数据可供读取。
当rd_en有效时,下一个上升沿时发生读操作。FIFO在dout上输出下一个可用字,VALID有效,表示成功的读操作。
当从FIFO中读取最后一个数据字时,FIFO的empty有效。如果在empty有效的同时继续rd_en有效,则忽略读请求,取消VALID,并underflow有效。
当执行写操作时,FIFO取消empty,允许您恢复有效的读操作,这由VALID的有效和underflow的取消表示。

在这里插入图片描述

FWFT模式读操作

FWFT(First-Word Fall-Through)功能提供了在不发出读操作的情况下向前查看FIFO中的下一个可用单词的能力。当FIFO中有数据时,第一个单词会从FIFO中掉落并自动出现在输出总线(dout)上。一旦第一个单词出现在dout上,empty被取消,表示FIFO中有一个或多个可读单词,并且VALID有效,表示dout上存在有效单词。

下图显示了FWFT读取访问。最初,FIFO不为空,下一个可用数据字放置在输出总线(dout)上,并且VALID有效。当您启用rd_en时,rd_clk的下一个上升时钟沿会将下一个数据字放置在dout上。在最后一个数据字放置在dout之后,额外的读取请求会导致dout上的数据无效,如VALID的去使能和empty的使能所示。任何进一步尝试从FIFO中读取都会导致下溢条件。

FWFT(First Word Fall Through)模式与标准读取模式不同,当从FIFO中读取最后一个数据时,FWFT模式下的空标志位被置位。当空标志位被置位时,VALID信号被取消。在标准读取模式下,当空标志位被置位时,VALID信号会在一个时钟周期内被置位。FWFT特性还将FIFO的有效读取深度增加了两个读取字。

FWFT特性在第一次写入空FIFO时,会增加两个时钟周期的延迟,直到空FIFO被写入第一个数据后才会取消空状态。此外,每次写操作都需要相同数量的读操作来清空FIFO。
在这里插入图片描述

Vivado FIFO是一种在Xilinx Vivado设计工具中使用的先进先出(FIFO)数据结构。FIFO是一种存储数据的缓冲区,它按照先进先出的原则对数据进行读写操作。 根据引用中的描述,Vivado FIFO的写时钟速率为50MHz,读时钟速率为100MHz。这意味着在写入数据时,每个时钟周期可以写入一个数据,而在读取数据时,每个时钟周期可以读取两个数据。 引用中的仿真结果显示,在将数据0-2047写入FIFO后,FIFO的full信号被拉高,表示FIFO已满。此时,写使能信号wr_en被拉低,读使能信号rd_en被拉高,开始从FIFO中读取数据。 而引用中的仿真结果显示,写模块成功将16位的1-2047数据写入FIFO,读FIFO模块以8位的方式从FIFO中正确地读取出写入的数据。 综上所述,Vivado FIFO是一种在Vivado设计工具中使用的FIFO数据结构,可以按照先进先出的原则对数据进行读写操作,并具有相应的时钟速率。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [VivadoFIFO核使用](https://blog.csdn.net/jiguangshen/article/details/124271242)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值