No.4 Verilog 表达式

4-1 操作数

 

常数、参数、线网、变量、位选、存储器、数组。
*部分位选:

integer mark;
reg [0:15] inst;
wire [31:0] gpio;
inst[mark+ : 2] //选择 mark,mark+1 位
gpio[mark- : 2] //选择 mark,mark-1 位
inst[0+:8] <==> inst[0:7]
gpio[0+:8] <==> gpio[7:0]
inst[15-:2] <==> inst[12:15]

 

4-2 操作符


特殊:===、!==、{}、{{}}、~&、^~、<<<、>>>、**、?:。


例:

1)sw = 'b11x0; xn = 'b11x0;
 则 sw == xn 的结果为x,sw === xn 的结果为1。
(2)qreg = 8'h17; //00010111
   qreg >> 2 //结果为8'b00000101,即05H
   qreg >>> 2 //结果为8'b000001013wire [7:0]zx; wire [11:0]cv;
   assign zx[7:4] = {zx[0],zx[1],zx[2],zx[3]};
   assign cv[7:0] = {zx[3:0],zx[7:4]};

   {4{1'b0}} // 等效于 0000
   {4{abcd}} // 等效于 {abcd,abcd,abcd,abcd}

 

转载于:https://www.cnblogs.com/vilicute/p/11595039.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值