基于vivado平台的FPGA约束笔记

timing. pdf 的导读图
timing. pdf 的导读图
一般时序约束的步骤按照上图的4点来进行。

  1. 在进行约束之前可通过vivado工具的console控制台输入tcl指令了解工程存在那些需要进行约束的时钟(P54):
    reset_timing :复位所有约束设置
    report_clock_networks:查看时钟网络在这里插入图片描述

  2. create_clocks(时钟约束)*

    ①输入时钟:端口进来的时钟(如果是差分时钟,只需约束p端)、GT输出、恢复时钟。
    ②pll等衍生时钟:可由工具自动推倒,一般无需约束,但可以使用create_generated_clock约束,好处是,可以自定义时钟名,方便用其来生成其它约束。
    ③自己分频的时钟:一定要进行时钟约束。
    

    2.1 三种时钟的详细描述(P36)
    在这里插入图片描述2.2 时钟定义的先后顺序(P46):
    在这里插入图片描述
    2.3 完成时钟约束后可以在console控制台输入:report_clocks — 显示设计中所有的时钟周期和波形,用于检查时钟约束是否正确(P56)。
    在这里插入图片描述

  3. input_delays & output_delays (I/O约束)
    在这里插入图片描述

  4. set_timing_exceptions(时序例外)
    ①多周期路径:在设计中有些逻辑并不是要求在单周期完成,例如从源寄存器到目的寄存器要求数据更新周期是n个时钟周期的情况下。多通过set_multicycle_path命令进行定义(P159)。
    在这里插入图片描述
    ②不需要分析的路径-false paths(P169)
    常量或伪常量信号(P172)
    互斥的路径和时钟(P173)
    异步时钟-在xilinx平台中,时序分析工具默 认为所有的时钟是相关的关系,因此我们需要手动将异步的时钟分析出来。常用set_false_path或set_clock_groups -asynchronous指令(P176)。

在这里插入图片描述③组合逻辑延时-没有时钟参与(P178)
在这里插入图片描述
4、CDC 的定义及分析(P88)
在这里插入图片描述在这里插入图片描述

  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值