FPGA时序约束-设置伪路径和设置异步时钟

**什么是设置伪路径?**伪路径是指该路径存在,但该路径的电路功能不会发生或者无须时序约束。
创建伪路径的好处:可以减少工具运行优化时间,增强实现结果,避免在不需要进行时序约束的地方花费较多时间。
设置伪路径一般用在:①跨时钟域,②一但上电就被写入数据的寄存器,③异步复位或测试逻辑,④异步双端口RAM。总结:伪路径主要就是用在异步时钟的处理上。

**注意的是:**伪路径的设置是单向的,如果两时钟之间存在相互的数据传输,则需要再反向设置一次伪路径。
举例如何设置伪路径:
在这里插入图片描述
在异步时钟的位置右击选择设置伪路径即可。
而关于异步复位的为路径设置直接代码,set_false_path -from [get_ports rst_pin]即可。

设置异步时钟:当两个异步时钟之间有数据交互时需要设置异步时钟,
例如:set_clock_groups -asynchronous -group [get_clocks clk_samp] -group [get_clocks clk2]

  • 0
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
同步SRAM和异步SRAM是FPGA中常见的两种存储器类型,它们在时序约束和分析上有一些区别。 首先,对于同步SRAM,数据的写入和读取操作是按照时钟信号同步进行的。时序约束主要包括写入操作的setup和hold时间,以及读取操作的access时间。写入操作的setup时间是指在时钟上升沿到来之前,数据必须稳定保持不变的最小时间;hold时间是指在时钟上升沿到来之后,数据必须保持不变的最小时间。读取操作的access时间是指在时钟上升沿到来后,数据可以稳定保持不变的最小时间。 对于异步SRAM,数据的写入和读取操作不依赖于时钟信号,它们是根据SRAM自身的控制信号来进行的。因此,时序约束主要包括写入操作的setup和hold时间,以及读取操作的delay时间。写入操作的setup时间和hold时间的定义与同步SRAM类似。读取操作的delay时间是指从读取控制信号发出到数据有效的最小延迟时间。 在时序约束和分析上,同步SRAM通常更容易处理。因为它们使用时钟控制信号进行同步,可以通过对时钟信号进行约束来实现对写入和读取操作的时序约束。此外,同步SRAM的工作频率较高,存储容量较大,能够满足更高的性能要求。 而异步SRAM的时序约束相对较为复杂,需要考虑SRAM自身的控制信号和数据信号的延迟,以及存储器单元之间的干扰等因素。对于高性能要求的设计,通常需要进行更加详细和精确的时序分析,以确保数据的正确读取和写入。 总的来说,同步SRAM和异步SRAM在时序约束和分析上有些区别,需要根据具体的设计要求和使用场景来选择适合的存储器类型,并针对其特性进行相应的时序约束和分析。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值