数字电路设计之低功耗设计方法五:门控

本文介绍了如何使用门控技术降低数字电路设计中的功耗。通过对比无门控和有门控的Verilog代码示例,阐述了门控在减少不必要的时钟跳转和计算上的作用,从而在输入未变化时显著降低功耗。
摘要由CSDN通过智能技术生成

        Clock-gating:在时钟频率加快的同时,有时候会产生不必要的跳转。有的时候输入并没有改变,但是由于时钟的跳转,寄存器的值会被一遍一遍的刷新。可能输入才更改一次,结果时钟已经跳了几万次,这样的差距是可怕的。每次时钟上升沿,输出就要重新计算一遍,这样带来的功耗是巨大的。如果使用门控的话,我们就可以增加一个逻辑,就是只有在满足某种条件之下,时钟的上升沿才会对使得整个电路重新计算。(比如这个条件就是输入发生变化)这样的话就可大大降低功耗。


没有门控的代码:

module clock(
a,
b,
c,
clock,
rst
    );


input wire   clock;
input wire   rst;
input wire   [31:0]a;
input wire   [31:0]b;
output reg   [63:0]c;

always@(posedge clock,posedge rst)
begin<

  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值