数字电路设计之算数右移的verilog实现

本文介绍了在Verilog中实现算术右移的方法,包括使用case语句的直接赋值方式和尝试使用循环的方法。详细展示了如何通过扩展最高位来完成不同位数的算术右移操作,并给出了一个在M0 CPU设计中应用的例子。
摘要由CSDN通过智能技术生成

在verilog语言中,本来>>>就是算数右移的符号,可是在实际仿真的时候我发现效果还是和逻辑右移的效果一样。后来我觉得应该可以自己写一个算了。

方法一:暴力case

          case(shift)       //shift是要移位的数目

                      1:

                              result <= {result[15],result[15:1]};  //假设result是15位的数据

                      2:

                              result <={ {2{result[15]}},result[15:2]};

                      ......

                      default:

                             result <= {16{result[15]}};

          endcase

方法二:循环法(后来我发现不可行,不支持非常数)

          integer  i;//i要在外面定义,不过一般不要用循环,循环是仿真的时候常用的,综合的时候不要用

           for( i=0;i < shift;i=i+1)

                begin

                       result <= {result[15],result[15:1]};

                end

我写的一个M0 CPU就是使用

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值