Xilinx FIFO IP 的复位与清空

FIFO复位设置如下,reset value 为1则高电平复位,为0则低电平复位,
在这里插入图片描述
如何清空FIFO,FIFO复位之后empty信号默认为高,在工作中需要一次性清空FIFO中的数据时,要对FIFO的复位信号产生一个上升沿即可实现FIFO的清空
在这里插入图片描述
Verilog代码

`timescale 1ns / 1ps

module fifo_test(
	input			clk_50m,                   
    input         	rst_n,                  
	output reg[15:0]data,
	output 			fifo_empty,
	output reg 		wr_en,
	output reg 		clear
    );
//实例化FIFO,只观察它的复位与空信号
time_fifo u_time_fifo (
	.clk(clk_50m), 		// input clk
	.rst(rst_n&&clear), // input rst
	.din(data), 		// input [15 : 0] din
	.wr_en(wr_en), 		// input wr_en
	.rd_en(), 			// input rd_en
	.dout(), 			// output [15 : 0] dout
	.full(), 			// output full
	.empty(fifo_empty) 	// output empty
);
 
reg[7:0] cnt;
always@(posedge clk_50m or negedge rst_n)begin//给FIFO写入一些数据,使其非空
	if(!rst_n) begin
		data  <= 16'd0;
		wr_en<= 1'b0;
	end
	else begin
		data <= data+1'b1;	
		if(data == 10) //复位完不能立即写入,要过几个时钟才可以写入,这里简单延时一下
			wr_en<= 1'b1;
		else if(cnt == 20) 
			wr_en<= 1'b0;
	end
end  

always@(posedge clk_50m or negedge rst_n)begin//复位操作计时器
	if(!rst_n) begin
		cnt  <= 8'd0;
		clear<= 1'b1;
	end
	else begin	
		cnt <= cnt+1'b1;
		if(cnt == 20)
			clear<= 1'b0;//先拉低,过一会再拉高,产生一个上升沿
		else if(data == 25)//拉低最小3个时钟,可适当大一些,这里保持了5个时钟
			clear<= 1'b1;
	end
end 
endmodule

testbench程序:

`timescale 1ns / 1ps

module tb_fifo_test;
	// Inputs
	reg clk_50m;
	reg rst_n;
	// Outputs
	wire [15:0] data;
	wire fifo_empty;
	wire clear;
	wire wr_en;

	// Instantiate the Unit Under Test (UUT)
	fifo_test uut (
		.clk_50m(clk_50m), 
		.rst_n(rst_n), 
		.data(data), 
		.fifo_empty(fifo_empty),   
		.wr_en(wr_en),		
		.clear(clear)
	);

	initial begin
		// Initialize Inputs
		clk_50m = 0;
		rst_n = 0;

		// Wait 100 ns for global reset to finish
		#20;
        rst_n = 1'b1;
	end
    always #10 clk_50m <= ~clk_50m;  
endmodule

仿真结果如下,在复位信号拉高后,FIFO清空了。
在这里插入图片描述

  • 4
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在Vivado中,FIFO的清零或复位操作可以通过使能FIFO复位信号来实现。根据引用中的描述,当启用FIFO复位信号后,wr_rst_busy复位需要经过约20个时钟周期才能完成。因此,在Vivado中清零或复位FIFO,需要等待一段时间,直到wr_rst_busy复位完成。 FIFO的清零或复位操作可以通过以下步骤实现: 1. 确定FIFO模块的实例名称或标识符,以便在设计代码中使用。 2. 在代码中,使用相应的语言(如Verilog或VHDL)来实现清零或复位FIFO的逻辑。具体的实现方式取决于FIFO的类型和需求。 3. 在设计约束文件(如XDC文件)中,为FIFO复位信号指定正确的物理引脚或逻辑信号连接。 4. 在Vivado中编译和合成设计,并生成比特流文件。 5. 使用生成的比特流文件加载设计到目标设备中,并确保FIFO复位操作按预期工作。 需要注意的是,FIFO的清零或复位操作可能会影响FIFO中的数据,因此在实际应用中需要谨慎处理清零或复位操作的时机和条件,以确保数据的正确性和一致性。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Vivado与ISE关于FIFO和BRam的复位信号差异](https://blog.csdn.net/CAOXUN_FPGA/article/details/129317095)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [IP核的使用之FIFO(Vivado)](https://blog.csdn.net/yifantan/article/details/127515689)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值