Vivado综合生成BRAM及与LUTRAM的区别

  • 使用vivado中的BRAM可以通过例化Block Memory Generator这一ip并进行相关的设定。

  • 另外可以通过直接编写符合BRAM规范的代码,借助综合工具直接将其综合为BRAM。这时需要通过(*ram_style="block"*)array进行修饰。

  • 下面给出一种看似可以综合为BRAM的示例。

module LUTRAM #( parameter ADDR_WIDTH = 32,
                 parameter DATA_WIDTH = 32,
                 parameter DEPTH = 1024)
                (
                input logic clk,
                input logic rst_n,
                //read port
                input logic re,
                input logic [ADDR_WIDTH-1:0] rd_addr,
                output logic [DATA_WIDTH-1:0] rd_data,
                //write port
                input logic we,
                input logic [ADDR_WIDTH-1:0] wr_addr,
                input logic [DATA_WIDTH-1:0] wr_data
                );

    (*ram_style="block"*)logic [DATA_WIDTH-1:0] bram [0:DEPTH-1];
    //read
    always_ff@(posedge clk, negedge rst_n)
    begin
        if(!rst_n)
            rd_data <= 0;
        else if(re)
            rd_data <= bram[rd_addr];
        else
            rd_data <= 0;
    end
    //write
    always_ff @(posedge clk)
    begin
        if(we)
            bram[wr_addr]<=wr_data;
    end
endmodule
  • 上述代码虽然用(*ram_style="block"*)array进行了修饰,再综合时却没有消耗BRAM资源,而是消耗了LUTRAM资源。
    在这里插入图片描述

  • 查看Vivado的官方手册ug901可知,对于Distributed RAM(LUTRAM)和Dedicated Block RAM(BRAM),二者都是写同步的。主要区别在于读数据,前者为异步,后者为同步的。
    在这里插入图片描述

  • 到这里也就清楚了为什么上方的代码会被工具综合为LUTRAM,最小的改动只需将negedge rst_n去掉即可。
    ※ 区别于(*ram_style="block"*),若要生成LUTRAM,相应的修饰应为(* ram_style="distributed" *)

  • 最后贴出官方手册中给出的简易双端口BRAM的一种示例代码
    在这里插入图片描述

  • 25
    点赞
  • 69
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值