vivado产生报告阅读分析21

其他命令选项
-of_objects <suggestion objects> 启用特定建议的报告。在此模式下运行时
report_qor_suggestions 不会生成新建议。此命令可快速执行 读取 RQS 文件后 此命令可用于查看其中包 含的建议。其使用示例如下所示:
report_qor_suggestions -of_objects [get_qor_suggestions <objectNames>]
-cells <cellName> 为执行的分析更改顶层单元。默认值为设计顶层。
1\写入建议对象文件
创建 QoR 建议报告后 必须生成包含建议的 RQS ( .rqs ) 文件 此文件可馈送到建议运行中。为此 请选中要包含在运行中的建议, 然后写入 QoR 建议文件。如下图中所示。
等效的 Tcl 命令如下所示
write_qor_suggestions filename.rqs
部分建议要求您确认必须覆盖 DONT_TOUCH 属性才能为其提供授权。等效的 Tcl 选项如下所示 : -disable_dont_touch
策略建议的处理方法与标准建议不同。写入 ML 策略允许创建多个策略 RQS 文件。如需了解更多详情 请参阅 策略建
。等效的 Tcl 选项如下所示
-strategy_dir <directory>
2、Report Design Analysis
Design Analysis 设计分析 报告可提供有关时序路径特性、设计互连复杂性以及拥塞的信息。此信息可供您用于执行设计或约束更改, 以改善 QoR 并且有可能缓解布线拥塞。
运行“ Report Design Analysis
您可从 Tcl 控制台或 Vivado IDE 运行“ Report Design Analysis 设计分析报告 。“ Report Design Analysis ”可生成的报告分 3 种类别
Timing 时序 ): 用于报告时序路径的时序和物理特性。
Complexity 复杂性 ): 用于分析设计的布线复杂性和 LUT 分布情况。
Congestion 拥塞 ): 用于分析设计的布线拥塞。
要在 Vivado IDE 中运行“ Report Design Analysis 请选择“ Reports ” → “ Report Design Analysis 报告 > 设计分析报告)
等效的 Tcl 命令 report_design_analysis -name design_analysis_1
注释 某些“ Report Design Analysis ”选项仅限通过运行 report_design_analysis Tcl 命令才能使用。您可使用 -name 选项在 GUI 中查看此 Tcl 命令的结果。
Vivado IDE Report Design Analysis ”对话框 如下图所示 中包含
• “ Results Name 结果名称 字段
• “ Options 选项 选项卡
• “ Advanced 高级 选项卡
• “ Timer Settings 定时器设置 选项卡
3、“ Results Name ”字段
在位于“ Report Design Analysis 设计分析报告 对话框顶部的“ Results Name 结果名称 字段中 指定报告的图形窗口的名称。
等效的 Tcl 选项 -name <windowName>
Options ”选项卡
在下图所示的“ Options 选项 选项卡中 包含下列字段
Timing 时序
Complexity 复杂性
Congestion 拥塞
Timing ”字段
Timing 时序 字段允许您报告时序路径的时序和物理特性。
等效的 Tcl 选项 -timing
您可选择为所有路径或者为特定时序路径生成报告。如果选择“ All Paths 所有路径 选项 则可指定路径延迟类型: max 对应建立 min 对应保持 min_max 对应建立和保持
等效的 Tcl 选项 -setup/-hold
您还可指定每个时钟组的最大路径数 默认值为 10
等效的 Tcl 选项 -max_paths <arg>
如果选择“ Specific Paths 特定路径 选项 则将针对指定路径对象执行分析。单击右侧“ Browse 浏览 按 钮, 打开搜索对话框 ,协助找出路径对象。
等效的 Tcl 选项 -of_timing_paths <args>
选择“ Extend Analysis 扩展分析 选项 对感兴趣的每条路径执行扩展分析 增加指向起点的最差路径和源自端点的最差路径的相关报告。
等效的 Tcl 选项 -extend
选中该选项并指定要使用的路径数量即可包含逻辑层次分布信息。如果同时分析所有路径 那么所选路径数量会覆盖每个时钟组的最大路径数。如果要分析的是特定路径, 则只提供指定路径的逻辑层次分布信息。
等效的 Tcl 选项 -logic_level_distribution -logic_level_dist_paths <arg>
Complexity ”字段
Complexity 复杂性 字段允许您报告设计网表的复杂性 ,它可反映整个层级中的连接密度。
等效的 Tcl 选项 -complexity
选择“ Advanced 高级 选项卡中的“ Cells to Analyze 待分析的单元 选项 即可指定用于复杂性分析的层级单元。单击“Browse ”按钮 右侧 ), 打开搜索对话框 协助找出单元对象。
等效的 Tcl 选项 -cells <args>
选择层级深度选项时 可以选择要检验的层次。默认情况下 您可在顶层检查 或在 -cells 选项所指定的单元层次进行检查。
等效的 Tcl 选项 -hierarchical_depth <arg>
Congestion ”字段
Congestion 拥塞 字段用于切换 -congestion Tcl ON 开启 OFF 关闭
选择“ Minimum congestion level 最低拥塞等级 选项 指定在设计中显示布线器拥塞状况的最低拥塞等级。如果不指定, 默认最低拥塞等级为 5 。该值的取值范围在 3 8 之间。
等效的 Tcl 选项 -min_congestion_level <args>
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值