Vivado公开课-01基础篇:Vivado一切超乎想象 笔记

16 篇文章 7 订阅

主要介绍3个部分

在这里插入图片描述

  1. vivado强大的分析能力
  2. vivado增强可视化分析能力
  3. 灵活的互动性

一.vivado强大的分析能力

在这里插入图片描述

  • 设计分析主要包括以下一些方面:
  1. 时序Timing
  2. 时钟网络Clock networks
  3. 时钟交互Clock interaction
  4. Ultra Fast 设计方法学(UFDM)
  5. 设计规则检查(DRC)
  6. 资源利用率(Utilization)
  7. 功耗(Power)

在这里插入图片描述
在综合或者布局布线后可以看到以上设计条目。
以上条目也可以通过Tcl命令执行。

1.时序分析

下面是时序分析的Tcl命令
在这里插入图片描述

  • 以下是时序报告的一些案例:
    在这里插入图片描述
  1. 指定时钟域下的时序报告,group后面跟指定时钟的名字
    在这里插入图片描述

  2. 指定模块下的时序报告,cell后面跟随模块的名字
    在这里插入图片描述

  3. 所有时序路径为负的时序报告
    在这里插入图片描述

  4. 级数大于指定值的路径提取出来,紧接着用report_timing生成相应的报告
    在这里插入图片描述

  5. 指定时钟下面扇出大于100的路径
    在这里插入图片描述

  6. 指定时钟下面Uncertainty>0.1的路径
    在这里插入图片描述

  • Schematic View生成时序报告
    在这里插入图片描述
    在这里插入图片描述
    生成特定的时序报告:
    在这里插入图片描述
  • 查看时序问题出现在哪个阶段
    在这里插入图片描述
    工具会自动在每个阶段生成时序报告。

3.资源利用率分析

在这里插入图片描述
在这里插入图片描述
以上是每个模块的资源利用率

  • 只查看某个模块的资源利用率报告:
    在这里插入图片描述

  • 参看某个pblock的利用率
    在这里插入图片描述

  • 对于多代芯片,需要参看每个SLR的资源利用率:
    在这里插入图片描述

  • Failfast Report 命令生成资源利用率

在这里插入图片描述

  • 分析三类RAM的资源消耗(Block ram、?、?)
    在这里插入图片描述
  • 分析逻辑级数:
    在这里插入图片描述
    以上是生成逻辑奇数大于4,小于9的路径
    在这里插入图片描述
  • 三种拥塞类型
    Global,short,long
    在这里插入图片描述
  • 生成拥塞(congestion)报告和设计复杂度报告

在这里插入图片描述
关注congestion level是否大于等于5,大于5就要额外关注;设计复杂度报告要看rent值超过0.65,或者average fanout超过4的模块.

  • 扇出分析
    在这里插入图片描述
    首先看fanout指导的是多少,然后看driver type,如果Driver type是LUT且fanout较大就需要关注一下。

  • 分析报告列表总结
    在这里插入图片描述
    以上通过TCL查看的分析报告都可以在vivado的图形界面中查看。

二.增强的可视化

传统的可视化:Schematic View
在这里插入图片描述

  • vivado 2018开始新增功能Gadget
    用于比较每个子步骤的内容
    在这里插入图片描述
  • 快捷键
    F6:打开综合后的结果,按下F6可以显示整个设计的层次,对设计的分析有一定帮助。
    在这里插入图片描述
    F4:用得很多很多,需要掌握,不管在什么报告里面,只要可以选中一个对象,这个对象可能是cell,可能是Pin,也可能是net,只要可以选中,按下F4,就可以生成这个对象的schematic 视图。(注:选中对象,点鼠标右键也有相应的选项)

三.灵活的互动性

  • From Schematic to Tcl Console
    在这里插入图片描述
  • From Tcl Console to Find Results Window
    在这里插入图片描述
  • Apply QoR Suggestions
    会给出一些设计优化的建议,这些建议通常会以Tcl形式体现出来,可以将该报告导出来,再新建一个design runs的时候再把它读进来,这样就可以直接使用前一个给出的建议应用到我们新的desigh runs中。
  • 1
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值