vivado 时钟延迟、抖动和不确定性

时钟延迟、抖动和不确定性

除了定义时钟波形外,还必须指定可预测和随机变化与操作条件和环境有关。

时钟延迟

在板上和FPGA内部传播后,时钟边沿到达其目的地有一定的延迟。这种延迟通常表示为:

•源延迟(通常在设备外部时钟源点之前的延迟)

•网络延迟

网络延迟引入的延迟(也称为插入延迟)是自动的估计(路线前设计)或精确计算(路线后设计)。

许多非AMD定时引擎需要SDC命令set_propagated_clock来触发沿着时钟树的传播延迟的计算。Vivado工具不需要此命令。相反,它默认计算时钟传播延迟:

•所有时钟都被视为传播时钟。

•生成的时钟延迟包括其主时钟的插入延迟及其自身网络延迟

对于AMD FPGA,主要使用set_clock_latency命令来指定时钟延迟在设备外部。set_clock_latency示例

# Minimum source latency value for clock sysClk (for both Slow and Fast
corners) set_clock_latency -source -early 0.2 [get_clocks sysClk]
# Maximum source latency value for clock sysClk (for both Slow and Fast
corners) set_clock_latency -source -late 0.5 [get_clocks sysClk]

时钟不确定性

时钟抖动

对于ASIC器件,时钟抖动通常用时钟不确定性特性来表示。然而,对于AMD FPGA,抖动特性是可预测的。它们可以自动由正时分析引擎计算或单独指定。

输入抖动

输入抖动是连续时钟边沿之间相对于标称或理想时钟到达时间。输入抖动是一个绝对值,表示变化

在时钟边缘的每一侧。使用set_input_jitter命令为每个主时钟指定输入抖动单独。您不能直接在生成的时钟上指定输入抖动。Vivado IDE定时引擎自动计算生成的时钟从其主时钟继承的抖动时钟

•对于生成的时钟由MMCM或PLL驱动的情况,输入抖动为用计算的离散抖动代替。

•如果生成的时钟是由组合或顺序单元创建的,则生成的时钟抖动与其主时钟抖动相同。

系统抖动

系统抖动是由于电源噪声、板噪声或的任何额外抖动引起的总体抖动系统。使用set_system_jitter命令仅为整个设计设置一个值,即所有时钟。以下命令在通过输入传播的主时钟上设置+/-100 ps的抖动港口clkin:

set_input_jitter [get_clocks -of_objects [get_ports clkin]] 0.1

额外的时钟不确定性

使用set_clock_uncerity命令为定义额外的时钟不确定性不同的转角、延迟或特定的时钟关系。这是一种方便的方式从时序角度为设计的一部分添加额外的裕度。时钟间的不确定性总是优先于简单的时钟不确定性,无论约束的顺序。在下面的例子中,尽管1.0的简单时钟不确定性ns是最后在时钟clk1上定义的,从时钟clk1到时钟clk2的时序路径受到约束具有2.0ns时钟不确定性。

set_clock_uncertainty 2.0 -from [get_clocks clk1] -to [get_clocks clk2]
set_clock_uncertainty 1.0 [get_clocks clk1]

当在两个时钟域之间定义时钟间不确定性时,请确保约束

时钟域的所有可能交互:

clk1 to clk2
clk2 to clk1
  • 6
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Vivado是一种常用的FPGA设计工具,可以用于设计和验证数字电路。在数字电路设计中,序列信号延时是一个重要的参数,它表示信号从输入到输出所需的时间。 Vivado具有强大的时序分析和延时测试工具,可以帮助设计人员评估和优化设计中的信号延时。 要进行序列信号延时测试,需要进行以下步骤: 1. 编写设计代码:首先,设计人员需要使用HDL语言(如VHDL或Verilog)编写设计代码。代码中要包含被测试的信号路径,以及输入和输出的时钟信号。 2. 生成设计文件:将设计代码导入Vivado工具,并进行综合和实现。综合是将设计代码转换为门级电路的过程,实现是将门级电路映射到目标FPGA器件上的过程。 3. 进行时序分析:在综合和实现完成后,可以使用Vivado的时序分析工具来评估设计中的信号延时。时序分析可以提供各个信号路径的延时信息,并帮助设计人员确定是否满足设计要求。 4. 进行延时测试:在时序分析的基础上,设计人员可以使用Vivado的延时测试工具来验证设计中的信号延时。延时测试会在设计中预先定义的输入情况下,通过模拟或硬件测试验证信号延时是否满足要求。 5. 优化设计:如果延时测试结果不满足要求,设计人员可以使用Vivado提供的优化工具来改善设计中的信号延时。例如,可以尝试重新布局电路、优化逻辑综合选项或调整时钟频率等。 通过以上步骤,设计人员可以使用Vivado工具进行序列信号延时测试,并逐步优化设计,以满足设计要求。Vivado的丰富功能和易用性使得设计人员能够更快速、准确地完成延时测试任务。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值