Vivado Lab Edition

本文介绍了VivadoLabEdition,它是完整版VivadoDesignSuite的精简版,适用于资源有限的实验室环境。文章详细讲解了安装过程、启动方法、工程操作,以及如何利用它进行编程和调试,包括使用Tcl命令和硬件管理器的功能。
摘要由CSDN通过智能技术生成
Vivado ® Lab Edition 是完整版 Vivado Design Suite 的独立安装版本 包含在生成比特流后对赛灵思 FPGA 进行编程和 调试所需的所有功能。通常适用于在如下实验室环境内进行编程和调试: 实验室环境中的机器所含磁盘空间、内存和连 接资源较少。Vivado Lab Edition 占用资源较少 安装包大小为 1 GB 安装后占用空间约 2.4 GB
安装
要安装 Vivado Lab Edition 请从 Unified Installer 中选择 Lab Edition 。 如需获取详细的安装、许可与版本信息, 请参阅《 Vivado Design Suite 用户指南 版本说明、安装和许可》 ( UG973 )
Windows 上启动 Vivado Lab Edition
要启动 Vivado Lab Edition 请依次单击
Start ” → “ All Programs ” → “ Xilinx Design Tools ” → “ Vivado Lab 2020.2 ” → “ Vivado Lab 2020.2
Windows Linux 上从命令行启动 Vivado Lab Edition
在命令提示符处输入以下命令
vivado_lab
提示 要在命令提示符处运行 vivado_lab 请根据操作系统平台类型 使用以下 2 个脚本之一来设置您的环境
C:\Xilinx\Vivado_Lab\2020.x\settings32.(bat|sh)
C:\Xilinx\Vivado_Lab\2020.x\settings64.(bat|sh)
您可从任意目录打开 Vivado Lab Edition 。但赛灵思建议从可写入的工程目录运行 Vivado Lab Edition 因为其日志记录 文件将写入启动目录。从命令提示符运行时, 请从工程目录启动 Vivado IDE 或者使用 vivado_lab -log journal 选项 来指定位置。使用 Windows 快捷方式时 必须修改快捷方式的“从文件夹启动 (Start in folder) ”属性。如果不从可写 入的工程目录启动, 则会导致出现警告 并且该工具可能出现不可预测的行为。
使用 Vivado Lab Edition
启动 Vivado Lab Edition 会显示“开始使用 (Getting Started) ”页面 请参阅下图 并为您提供不同选项 以帮助 您开始使用 Vivado Lab Edition
开始处理工程
要对设计进行编程或调试 可以创建或打开工程 然后连接到目标服务器和器件。“ Getting Started ”页面的“ Quick Start”部分提供了便于访问以下任务的相应链接
• 创建工程。
• 打开现有工程
注释 您也可以从“ Recent Projects ”列表中打开最近访问的工程。
打开硬件管理器
您可打开 Vivado Design Suite 硬件管理器以将自己的设计比特流下载至器件。硬件管理器的 Vivado Logic Analyzer 和 Vivado Serial I/O Analyzer 功能可用于调试设计。例如 您可将 ILA VIO JTAG-to-AXI 核添加到自己的设计中 以 便在 Vivado Logic Analyzer 中进行调试 或者也可以使用来自赛灵思 IP 目录的 IBERT 设计示例通过 Vivado Serial I/O Analyzer 对设计中的 GT 进行测试和配置。
复查文档和视频
在“ Getting Started ”页面上 您可使用赛灵思 Documentation Navigator 来访问各种文档 包括用户指南、教程、视 频和版本说明等。
Vivado Lab Edition 工程
Vivado Lab Edition 允许用户在实验室内创建工程。所有相关编程和运行时调试首选项和设置都存储在该工程内。重新 打开该工程时, 这些设置和首选项将复原到该工具中。在 Vivado Lab Edition 工具和 Vivado Design Suite 中均可创建 Vivado Lab Edition 工程。
创建新工程
要在 Vivado Lab Edition 中创建新工程 请单击“ Create New Project ”图标 如下所示。在“新建 Vivado Lab Edition 工程 (New Vivado Lab Edition Project) ”对话框中输入工程名称和位置。创建新工程时 Vivado Lab Edition 会创建工 程文件。此工程文件名与“New Vivado Lab Edition Project ”对话框中输入的工程名称相同 且带有 .lpr 扩展名。请 参阅下图。
使用 Tcl 命令创建工程
您也可使用 Tcl 命令创建工程。在 Vivado Lab Edition Tcl 控制台 (Tcl Console) 中输入以下命令 或者使用 source 命 令从 Tcl 文件中找到这些命令。
create_project project_1 C:/Lab_edition/project_1
打开工程
要打开现有工程 请单击打开工程图标 如下图所示 或者双击“ Recent Projects ”列表中的工程。这样即可打开资源 管理器, 以便您打开任意 Vivado Lab Edition 工程文件 扩展名为 .lpr 。默认情况下 在“最近的工程 (Recent Projects)”列表中会列出最近打开的 10 个工程。要更改此数量 请单击“ Tools ” → “ Settings ”并更新“工程 (Project)”选项。 Vivado Lab Edition 会先检查确认工程数据可用 然后再显示工程。
使用 Tcl 命令打开工程
您还可使用 Tcl 命令打开工程。在 Vivado Lab Edition 的“ Tcl Console ”中输入以下命令 或者使用 source 命令 从 .tcl 文件找到以下命令。
open_project C:/Lab_edition/project_1/project_1.lpr
Vivado Lab Edition 中使用现有器件镜像和调试探针文件
您可使用现有器件镜像 .bit .pdi .ltx 文件 此镜像和文件源自装有 Vivado Lab Edition 的实验室机器中 先前运行的实现。
典型流程包括
1. 创建新的 Vivado Lab Edition 工程。
2. 连接到开发板。
3. 为工程指定 .bit .pdi 文件和 .ltx 文件。
4. 您可将这些文件手动复制到网络驱动器 或者将其直接指向网络驱动器。
5. 对器件进行编程。
6. 在硬件中调试设计。
7. 更改结果将即时保存到工程中。
8. 用户首选项、运行时管理器调试仪表板和窗口设置将即时保存到工程中。
9. 重新打开工程时 用户首选项、运行时管理器调试仪表板和窗口设置都将恢复。
使用来自 Vivado Design Suite Edition 的现有 .lpr 工程
当您使用硬件管理器对工程中的设计进行编程和 / 或调试时 Vivado Design Suite 会在工程启动时创建 .lpr 文件 并 在其中填充相应的详细信息。此文件位于 project_name.hw 目录中 且名为 project_name.lpr 。在 Vivado Lab Edition 中可打开此工程文件。
典型流程包括
1. 单击 Vivado Lab Edition 开始页面上的“ Open Project ”图标。
2. 遍历至 project_name.hw 目录 此目录位于 Vivado IDE 工程目录中。
3. 选择位于 project_name.hw 目录中的 .lpr 工程文件 然后单击“ OK ”。
4. 连接至您的硬件。
5. 使用正确的器件镜像文件以及来自相应 Vivado 运行目录的 .ltx 文件执行编程和调试。
6. 打开工程时 用户首选项、运行时管理器调试仪表板和窗口设置都将恢复。
编程功能
打开工程并将硬件管理器与目标器件相连后 即可在 Vivado Lab Edition 中使用 Vivado Design Suite 所提供的所有编 程功能。所有编程相关 Tcl 命令在 Vivado Lab Edition 中都受支持。如需获取有关可用编程功能的更多详细信息 请参 阅“配置存储器器件编程”。
调试功能
打开工程并将硬件管理器与目标器件相连后 即可在 Vivado Lab Edition 中使用 Vivado Design Suite 所提供的所有调 试功能。所有调试相关 Tcl 命令在 Vivado Lab Edition 中都受支持。如需了解有关可用的调试功能的更多详细信息 请 参阅本用户指南的“在硬件中调试逻辑设计”部分。
  • 30
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值