vivado 生成比特流或器件镜像

在生成比特流或器件镜像之前 请复查其设置 确保这些设置对于您的设计都正确无误 这一点至关重要。 Vivado® IDE 中的比特流和器件镜像设置分为 2 种类型
1. 比特流或器件镜像文件格式设置。
2. 器件配置设置。
Vivado Flow Navigator 中依次选择“ Settings ” → “ Bitstream 或者选择“ Flow ” → “ Settings ” →
Bitstream Settings... ”菜单选项 以打开“比特流设置 (Bitstream Settings) ”弹出窗口 请参阅下图 。只要设置正 确, 即可使用 write_bistream Tcl 命令或者使用 Vivado Flow Navigator 中的“ Generate Bitstream ”按钮来生成比 特流数据文件。 如以 Versal 器件为目标 则会生成可编程器件镜像 ( .pdi ) 而不是比特流文件。更改器件镜像设置的过程与先前架构 类似, 但菜单选项、 Tcl 命令和可用设置将有所不同。要访问器件镜像设置 请依次选中 Vivado Flow Navigator 中的
Settings ” → “ Generate Device Image 或者选中“ Flow ” → “ Settings ” → “ Generate Device Image Settings...”菜单选项以打开“设置 (Settings) ”弹出窗口中的“器件镜像 (Device Image) ”部分 请参阅下图 。可使 用 write_device_image Tcl 命令或者使用 Vivado Flow Navigator 中的“ Write Device Image ”按钮来生成器件镜 像数据文件。
更改比特流文件格式设置
默认情况下 write_bitstream Tcl 命令仅生成二进制比特流 ( .bit ) 文件。 可选 您可通过使用以下命令开关来 更改 write_bitstream Tcl 命令写出的文件格式
-raw_bitfile :( 可选 此开关会导致 write_bitstream 写入原始比特文件 ( .rbt ) 其中所含信息与二进制比特流文件中所含信息相同, 但格式为 ASCII 。输出文件名为 文件名 .rbt
-mask_file :( 可选 写入掩码文件 ( .msk ) 其中包含有关比特流文件中配置数据所在位置的掩码数据。此文件可 用于判定比特流中哪些位应与回读数据进行比较和验证。如果掩码位为 0 那么应根据比特流数据验证该位。如果 掩码位为 1 那么不应验证该位。输出文件名为 文件名 .msk
-no_binary_bitfile :( 可选 不写入二进制比特流文件 ( .bit ) 。如果要生成 ASCII 比特流文件或掩码文件或者要生 成比特流报告( 而不生成二进制比特流文件 ), 请使用此命令。
-logic_location_file :( 可选 创建 ASCII 逻辑位置文件 ( .ll ) 以显示锁存器、触发器、 LUT 、块 RAM I/O 块输 入输出的比特流位置。这些位元可供位置文件中的帧和位编号引用, 以帮助您观察 FPGA 寄存器的内容。
-bin_file :( 可选 创建二进制文件 ( .bin ) 其中仅包含器件编程数据 不含标准比特流文件 ( .bit ) 中找到的报头 信息。
-reference_bitfile <arg> :( 可选 读取引用比特流文件 并输出增量比特流文件 其中仅含不同于指定引用文件的 内容。此部分比特流文件可用于对含更新设计的现有器件进行增量编程。
更改器件镜像 (.pdi) 文件格式设置
默认情况下 write_device_image Tcl 命令仅生成 1 .pdi 文件。 可选 您可通过使用以下命令开关来更改 write_device_image Tcl 命令写出的文件格式
-force 可选 ): 覆盖现有文件。
-verbose 可选 ): 打印 write_device_image 选项。
-raw_partitions 可选 ): 写入原始 CFI NPI 分区文件 .rnpi .rcdo
-mask_file 可选 ): 写入掩码文件 ( .msk )
-logic_location_file 可选 ): 写入逻辑位置文件 ( .ll )
-cell <arg> 可选 ): 仅为指定单元创建部分器件镜像。
-no_pdi 不生成 pdi 文件。仅生成原始分区文件后即停止操作。
-no_partial_pdifile 可选 ): 不为 Dynamic Function eXchange 设计写入部分 pdi 文件。
-quiet 可选 ): 忽略命令错误。
<file> 必需 ): 要写入的 .pdi 文件名。
更改器件配置比特流设置
您可更改的最常见的配置设置归为器件配置设置类别。这些设置以器件模型属性为主 您可使用“编辑器件属性 (Edit Device Properties)”对话框来为选定的已综合或已实现的设计网表更改这些设置。以下步骤描述了如何使用此方法来 设置各种比特流属性:
1. 选择“ Tools ” → “ Edit Device Properties ”。
2. 在“ Edit Device Properties ”对话框中 选择左侧列中的类别之一 请参阅下图
提示 您可在“搜索 (Search) ”字段中输入属性。例如 在“ Search ”文本框中输入 jtag 即可查找并选中与 JTAG 编程相关的属性。
3. 将属性设为期望的值 然后单击“ OK ”。
4. 依次选择“ File ” → “ Constraints ” → “ Save ”以将更新后的属性保存到目标 XDC 文件中。
您也可以在 XDC 文件中使用 set_property 命令来设置比特流属性。例如 以下提供了如何更改 start-up DONE cycle 属性的示例 : set_property BITSTREAM.STARTUP.DONE_CYCLE 4 [current_design]
Vivado 模板中提供了更多示例和模板。“器件配置比特流设置”描述了所有器件配置设置。
重要提示 只需编辑与所用配置模式相关的“器件配置比特流设置”即可。其它设置请保留默认值
  • 13
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
生成比特流文件,可以在Xilinx Vivado中按照以下步骤进行操作: 1. 首先,创建一个新的工程并添加所需的文件。这些文件可以是设计文件、约束文件、IP核等。 2. 在完成设计和约束后,进行综合和实现。综合将设计文件转换为门级网表,而实现则将门级网表映射到目标FPGA设备上。这些步骤可以确保设计在FPGA上正确实现。 3. 在实现完成后,需要进行管脚约束。通过约束文件,指定各个信号在FPGA芯片上的引脚位置,以确保设计的正常工作。 4. 接下来就是生成比特流文件比特流文件是将设计编译成FPGA所需的二进制文件,类似于编程中的可执行文件。你可以通过点击菜单中的"Program and Debug",然后选择"Generate Bitstream"来执行这个操作。 5. 生成比特流文件后,你可以将它下载到目标开发板上进行硬件验证。这一步通常需要使用烧写工具或者开发板自带的下载接口。 综上所述,vivado生成比特流文件的步骤包括创建工程、添加文件、综合、实现、管脚约束,然后通过"Program and Debug"菜单生成比特流文件,并将其下载到开发板上进行硬件验证。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [xilinx Vivado的使用详细介绍(2):创建工程、添加文件、综合、实现、管脚约束、产生比特流文件、烧写程序...](https://blog.csdn.net/CLL_caicai/article/details/105276443)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值