vivado IOBDELAY

输入输出块延迟(IOBDELAY)属性指定是添加还是删除
ILOGIC块中的延迟,以帮助减少系统同步的输入保持时间
数据输入捕获。
ILOGIC块位于I/O块(IOB)旁边,包含同步
用于在数据通过IOB进入FPGA时捕获数据的元件。ILOGIC区块
7系列FPGA可以在HP I/O组中配置为ILOGICE2,在HR I/O中配置为ILOGICE3
银行。ILOGICE2和ILOGICE3在功能上完全相同,只是ILOGICE 3的值为零
可配置IOBDELAY的保持延迟元件(ZHOLD)。请参阅7系列
FPGA SelectIO资源用户指南(UG471)[参考2]或UltraScale体系结构SelectIO
资源用户指南(UG571)[参考文献8],了解有关IOBDELAY使用的更多信息。

适用对象
•端口(get_Ports)
•用于分配给输入缓冲区(IBUF)的单元。
•网
价值观
•无:将IBUF和输入触发器(IFD)路径的延迟设置为关闭。
•IBUF
°将I/O组件内任何寄存器的延迟设置为OFF。
°将通过ILOGIC块的缓冲路径的延迟设置为ON。
•IFD
°将I/O组件内IFF寄存器的延迟设置为ON。
°将通过ILOGIC的缓冲路径的延迟设置为OFF。
•BOTH:将IBUF和IFD路径的延迟设置为ON。

Syntax
Verilog Example
Place the Verilog constraint immediately before the module or instantiation.
Specify the Verilog constraint as follows:
(* IOBDELAY = {NONE|BOTH|IBUF|IFD} *)
VHDL Example
Declare the VHDL constraint as follows:
attribute iobdelay: string;
Specify the VHDL constraint as follows:
attribute iobdelay of {component_name |label_name }: {component|label} is
“{NONE|BOTH|IBUF|IFD}”;
XDC Syntax
set_property IOBDELAY value [get_cells cell_name ]
Where:
value is one of NONE, IBUF, IFD, BOTH
XDC Syntax Example
set_property IOBDELAY "BOTH" [get_nets {data0_I}]
  • 9
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值