PULLTYPE

重要提示:PULLTYPE属性替换了KEEPER、PULLOWN和PULLUP属性
已被弃用。
输入缓冲器(如IBUF)、3态输出缓冲器(如OBUFT)和双向缓冲器
(例如,IOBUF)可以具有弱上拉电阻、弱下拉电阻或弱“保持器”
电路。通过将PULLTYPE属性添加到以下选项之一,可以调用此功能
连接到缓冲区的端口或网络对象的以下属性:
•拉起
•下拉
•管理员
注意:应用此属性时,KEEPER、PULLOWN或PULLUP功能将不会
在RTL仿真期间显示,这可能会在RTL仿真之间产生功能差异
结果和实现的设计。此功能可以通过使用后合成进行验证
包含该对象的门级网表;或者通过将适当的UNISIM对象实例化到
使用PULLTYPE属性以在RTL中反映此行为的设计
模拟。

对于差分输入或输出,您可以设置以下参数来定义
首选终止策略:
set_param iconstr.diffPairPulltype{自动|相同|相反}
哪里:
•AUTO:这是所有架构的默认设置。
°对于7系列设备,AUTO与same具有相同的效果。
°对于UltraScale和UltraScale+架构,AUTO具有与OPOSITE相同的效果。
•相同:正侧和负侧都是PULLUP或PULLDOWN,如
PULLTYPE属性。
•相反:如果P侧的PULLTYPE被分配了PULLUP,则N侧为
分配了一个PULLDOWN。
有关更多信息,请参阅Vivado Design Suite 7系列FPGA和Zynq-7000 SoC
库指南(UG953)[参考25]或超大规模体系结构库指南(UG 974)

适用对象
•端口(get_Ports):适用于任何顶级端口。
价值观
•KEEPER:使用保持电路来保存连接到网络的价值
指定端口。
•下拉:使用下拉电路,避免不驱动时信号浮动。
•PULLUP:使用上拉电路,避免在不驱动时信号浮动。
•{}:(NULL)不要使用keeper、pull-down或pull-up电路(默认)。

Syntax
Verilog Syntax
Place the Verilog attribute immediately before the module or instantiation. Specify as
follows:
(* PULLTYPE = " {KEEPER|PULLDOWN|PULLUP| }" *)
VHDL Syntax
Declare the VHDL attribute as follows:
attribute PULLTYPE: string;
Specify the VHDL attribute as follows:
attribute PULLTYPE of signal_name : signal is “{KEEPER|PULLDOWN|PULLUP| }”;
XDC Syntax
set_property PULLTYPE {KEEPER|PULLDOWN|PULLUP| } [get_ports port_name]
Where
port_name is the name of an input, output, or inout port.
XDC Syntax Example
set_property PULLTYPE PULLUP [get_ports wbWriteOut]
-or
set_property PULLTYPE {} [get_ports wbWriteOut]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

cckkppll

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值