vivado xdc约束基础知识0:常用命令

先简单描述常用命令,后续将详细介绍。


1. 外部时钟输入的约束如下:

create_clock -period (clock period) -name (clock name) -waveform { (Traise), (Tfall) } [get_ports (clock port name)]


2. 已建立的时钟改名

create_generated_clock -name (clock name) [get_pins (path)]


3.input/output delay 设置
set_input_delay    -clock [get_clocks (clock name)] (delay time ns)  [all inputs]
set_output_delay -clock [get_clocks (clock name)]  (delay time ns) [all outputs]


4. 建立时钟组
set_clock_groups -name (group name) -asynchronous -group {(clock name) (clock name) }

set_clock_groups -name (group name) -asynchronous -group [get_clocks (clock name)]


5. 管脚分配
set_property PACKAGE_PIN (pin location) [get_ports (port name)]
set_property IOSTANDARD (level:LVDS,LVCMOS18,LVCMOS33 etc.) [get_ports (port name)]


6. 管脚作为时钟线

set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets (port_name)]


7. 管脚拉高

set_property PULLUP true [get_ports (port name)]


8. 当 vivado 报错说有某些管脚没有分配时,加下面两句
set_property SEVERITY {Warning} [get_drc_checks NSTD-1]

set_property SEVERITY {Warning} [get_drc_checks UCIO-1]


  • 10
    点赞
  • 105
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值