基于systemgenerator的模糊pID控制器设计

338 篇文章 718 订阅 ¥79.90 ¥99.00
本文介绍了如何使用MATLAB的SystemGenerator工具设计一个模糊PI控制器。该控制器结合了常规PI控制和模糊推理,输入为偏差E和偏差变化率EC,输出为PI参数。采用Mamdani型模糊推理,选择7个语言等级定义模糊集合,并使用不同类型的隶属函数。通过模糊规则表确定控制规则,调整模糊控制增益因子和量化因子,实现参数自适应调整。最后,建立了仿真模型验证控制器效果。
摘要由CSDN通过智能技术生成

 

模糊PI控制器由常规PI控制和模糊推理两部分组成,模糊推理部分的输入是偏差E和偏差变化率EC,输出量是、。PI参数模糊自整定就是找出PI参数和,之间的模糊关系,在运行中不断检测和,根据模糊控制原理来对三个参数进行整定。通常,一个模糊系统的结构框图满足如下的结构:

 

图1 模糊控制系统结构

这里输入变量为实测温度与预设温度的偏差及温差变化率,输出变量为PI2个参数,是一个单变量模糊控制器。采用默认的模糊推理方法Mamdani型,结构如图2所示。

 

图2单变量模糊PID控制器

考虑到控制规则的灵活与细致性兼顾其简单与易行的要求,这里选取七个语言等级作为本文所设计的模糊控制器的语言等级,从负的最大到正的最大依次为:负大(NB)、负中(NM)、负小(NS)、零(ZO)、正

  • 4
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值