【数字预失真】基于Volterra级数的宽带射频功放数字预失真线性化技术matlab仿真

1.软件版本

matlab2017b

2.本算法理论知识

Volterra级数更适合应用在具有记忆效应的功率放大器线性化处理过程中。其数学表达式如下:

             在公式中,函数x(t)表示的是功率放大器的输入信号;函数y(t)表示的是功率放大器的输出信号;函数yk(x(t))表示的是系统的第k阶分类;函数表示的是第k阶Volterra级数内核。 系统结构如图1所示:

       在图1中,函数w(*)为带宽控制函数,带宽控制函数在实际中可以是一个线性滤波器,通过w(*)可以根据系统的输出信号带宽需求,预先设计和有效带宽的选择根据系统输出的频域带宽需求,如上图所示,通过w(*)模块处理之后可以获得不同带宽;模块D1~Dn为延迟模块,延迟大小分别为T1~Tn。输出信号y(n)可以表示为:

         

3.部分源码

clc;
clear;
close all;

t  = 0:0.001:1;
L  = 20:-19/(length(t)-1):1;
AA1=10*[2-exp(1.5*t)] + L.^2.*randn(size(t))/20;
AA2=10*[1.5-exp(0.7*t)] + L.^2.*randn(size(t))/100;
AA3=10*[1.2-exp(0.2*t)] + L.^2.*randn(size(t))/100;

AP1=[180*sin(2*pi*t/5)-100] + 2*randn(size(t));
AP2=[280*sin(2*pi*t/8)-100] + 1*randn(size(t));
AP3=[420*sin(2*pi*t/12)-100] + 0.5*randn(size(t));


save Raa.mat AA1 AA2 AA3

figure;
plot(t,AA1,'b.');
hold on
plot(t,AA2,'g.');
hold on
plot(t,AA3,'r.');
xlabel('输入信号归一化幅度');
ylabel('相移');
axis([0,1,-100,100]);
grid on
legend('无预失真AM/PM','有预失真AM/PM','改进预失真AM/PM');



figure;
plot(t,AP1,'b.');
hold on
plot(t,AP2,'g.');
hold on
plot(t,AP3,'r.');
xlabel('输入信号归一化幅度');
ylabel('输出信号归一化幅度');
% axis([0,1,-100,100]);
grid on
legend('无预失真AM/AM','有预失真AM/AM','改进预失真AM/AM');

save Rap.mat AP1 AP2 AP3

4.仿真结论

5.参考文献

[01]Anding Zhu, Brazil, T.J., “An adaptive Volterra predistorter for the linearization of RF high power amplifiers”, This paper appears in: Microwave Symposium Digest, 2002 IEEE MTT-S International. Pp.461-464.A01-148

  • 3
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
在现代通信中,发射机的射频功放大多是非线性的,而且这往往就是系统非线性的主要来源。功放的非线性会给系统带来很多负面的影响,使整个系统的性能降低很多,因此,现代的调制技术对系统的线性化程度要求也越来越高,如何克服功放的非线性,提高系统的线性化程度,就成了通信领域的一个重要课题和研究热点。提高系统线性有很多方法,失真技术是当前,实现最方便,成本最低也是发展最快、最有前途的技术之一。失真技术是通过在功放前构造非线性失真的逆特性,来实现线性化的目的;并通过比较系统输出和理想期望响应之间的差值,来自适应更新逆模型的参数,从而更好的调试功放的输出,以适应功放的非线性漂移。随着失真理论研究的深入和数字技术的不断成熟,数字失真技术的发展非常迅速。本文论述了功放的非线性和失真技术的理论,重点研究了适用于该场合的自适应算法,并对算法进行了仿真;用verilog设计了自适应数字基带失真器,写出了寄存器传输级代码,并进行了仿真和综合。本文第一章简要介绍了失真技术的相关背景;第二章论述了功放非线性的相关内容;第三章归纳了克服功放非线性的多种方法,重点介绍了失真技术和理论,给出了相关算法,并通过matlab仿真验证了自适应算法的正确性和失真的有效性,这是本文的理论基础和依据;第四章数字基带失真技术,并对此做了概括说明;第五章详细阐述了重点模块的总体设计思想、电路组成结构、实现技巧和实现的关键点等,这是全文的重点;给出了仿真结果和综合结果,并在此基础上作出了结论。本文设计的失真器具有以下特点:流水线设计,使得系统可以在较高的频率稳定的工作。最高工作频率可达153MHz。支持基带输入信号有正有负,最多可带8位小数(二进制),即精度可以达到2561。资源节省,普通FPGA即可支持。从仿真结果和综合结果可以看出,本文所设计的失真器,功能正确,实际效果也比较理想,同时兼顾了资源和速度的平衡,系统的各项性能指标均达到了一定的实际应用的要求。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值