【微处理器】基于FPGA的微处理器VHDL开发

160 篇文章 554 订阅 ¥79.90 ¥99.00
218 篇文章 53 订阅
本文介绍了使用VHDL在FPGA上开发微处理器的过程,探讨了微程序控制技术的优势,详细阐述了微处理器的结构、指令集、微指令结构以及系统设计。内容涵盖微处理器的指令格式、操作码功能、微处理器结构组件如ALU、控制存储器和地址转移逻辑,并提供了核心源码示例。
摘要由CSDN通过智能技术生成

1.软件版本

vivado2019.2

2.算法概述

      微处理器同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被广泛地应用.在计算机系统中,微程序设计技术是利用软件方法来设计硬件的一门技术。

      微程序控制的基本思想,就是仿照通常的解题程序的方法,把操作控制信号编成所谓的“微指令”,存放到一个只读存储器里.当机器运行时,一条又一条地读出这些微指令,从而产生全机所需要的各种操作控制信号,使相应部件执行所规定的操作,采用微程序控制方式的控制器称为微处理器。所谓微程序控制方式是指微命令不是由组合逻辑电路产生的,而是由微指令译码产生。一条机器指令往往分成几步执行,将每一步操作所需的若干位命令以代码形式编写在一条微指令中,若干条微指令组成一端微程序,对应一条及其指令。在设计CPU时,根据指令系统的需要,事先编制好各段微程序,且将它们存入一个专用存储器(称为控制存储器)中。微处理器由指令寄存器IR、程序计数器PC、程序状态字寄存器PSW、时序系统、控制存储器

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fpga和matlab

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值