MUX 数据选择器

MUX,数据选择器,是一种可以从多个输入信号中选择一个信号进行输出的器件。在HDL语言中,可以用case,if-else 或条件表达式条件?表达式1:表达式2等描述。当if-else 选择支项太多,最好别用if-else,因为if-else会产生优先级选择器,导致按顺序从第一个支项执行到最后一个,互相级联,critical path太长。

它的电路图(circuit diagram) 如下:


 Select bits 与input data个数关系:

Select bits = log2#input data,若Select bits大于此位宽可通过数值表达式进行优化,减少Select bits。综合工具一般都能进行相关优化。

输入信号select signal, d 对电路复杂度的影响:

每个输入data的bit-width,决定将ANDgate, OR gate复制几遍;

select的bit-width,决定几个NOT gate, the number of AND gate for each input data 

  • 6
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值