Verilog数组初始化赋值

Verilog数组表示及初始化,以三维数组为例,二维及一维应该类似;

reg [19:0] array1 [0:7][0:15][0:8];    //3维数组,用来存储梯度直方图

always@(posedge clk or negedge rst_n)
begin
 if(!rst_n)
  begin
  //array1 [0:7][0:15][0:8]  <= 0;
        $readmemh("pds_cell_0.txt", array1);
         
  end

首先直接给数组赋值——  //array1 [0:7][0:15][0:8]  <= 0;,报错;

网上搜了一下,要用给memery赋值的方式,于是生成了一个txt文档,注意文档的内容格式要符合如下要求:

1101
1110
1000
0111
0000
1001
0011

...

我这里是直接赋值为0,所以我的pds_cell_0.txt内容就是

0

0

0

...



这种方法用modelsim仿真是OK的,

但是QUARTUSII综合不能通过,怎么办呢?




评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

赤子001

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值