两种方式半加器

module halfadd(	input wire in_1,
				input wire in_2,
				output wire count,//进位
				output wire sum
					
    );

///方法一,拼接形式
assign {count,sum}=in_1+in_2;

//方法二
//assign count = in_1 & in_2;
//assign sum = in_1 ^ in_2;

endmodule
module vtf_halfadd;

	// Inputs
	reg in_1;
	reg in_2;

	// Outputs
	wire count;
	wire sum;

	// Instantiate the Unit Under Test (UUT)
	halfadd uut (
		.in_1(in_1), 
		.in_2(in_2), 
		.count(count), 
		.sum(sum)
	);

	initial begin
		// Initialize Inputs
		in_1 = 0;
		in_2 = 0;

		// Wait 100 ns for global reset to finish
		#100;
		in_1 = 0;
		in_2 = 0;
		#100;
		in_1 = 1;
		in_2 = 1;
		#100;
		in_1 = 0;
		in_2 = 1;
		#100;
		in_1 = 1;
		in_2 = 0;
		#100
		$stop;
        
		// Add stimulus here

	end
      
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值