STM32+FPGA FSMC实验

17 篇文章 4 订阅

本质是把FPGA当做一个SRAM去操作。

首先调试STM32写入FPGA数据,FPGA通过串口发出接收的数据。

STM32部分

1、首先通过cube配置stm32

原理图地址总线如下

 原理图数据总线如下:

原理图其余部分

cube配置如下

 

2、打开工程,在FSMC配置文件中加入这一段写FSMC。

 3、主函数中加入这三句话,则实现了对FSMC的循环写

FPGA部分

首先要知道FPGA模拟了一个SRAM。在FSMC_NWE下降沿代表有新数据写入了。我们接收的STM32数据应该首先存起来。之后再通过串口发送。

在每个FSMC_NWE的下降沿存储一个16位的数据。

 FPGA将从STM32接收到的数据通过串口发出如下

调试STM32读出FPGA数据

1、在应用过程中往往是FPGA作为接口采集了大量数据需要通过FSMC去传输到STM32的。基本思路是每当FPGA准备好数据时,我们通过一个IO口告诉STM32数据准备好了。

2、这个时候STM32开始进行FSMC读操作,函数是          

 DATA = *( vu16*)((u32)(0x68000000)+CC);     //CC是从哪个地址开始读取数据
3、FPGA程序就是根据地址来给出具体的数据,对数据总线操作。

  • 5
    点赞
  • 56
    收藏
    觉得还不错? 一键收藏
  • 8
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值