QuartusUART串口设计Verilog代码远程云端验证

名称:QuartusUART串口设计Verilog代码远程云端平台(文末获取)

软件:Quartus

语言:Verilog

代码功能:

UART串口设计

波特率9600,1位起始位,8位数据位,1位停止位

具有发送和接收功能

通过按键控制发送的内容

接收的数据通过led显示

本代码已在远程云端平台验证,远程云端平台如下,其他远程云端平台可以修改管脚适配:

远程平台照片.png

1. 工程文件

远程平台电路

2. 程序文件

3. 程序编译

4. RTL图

5. 管脚分配

1. 工程文件

远程平台电路

2. 程序文件

3. 程序编译

4. RTL图

5. 管脚分配

部分代码展示:

//UART 波特率9600,1位起始位,8位数据位,1位停止位
module uart_top(
    input           sys_clk,          //外部10M时钟
    input           sys_rst_n,        //外部复位信号,低有效
    //uart接口
    input           uart_rxd,         //UART接收端口
    output          uart_txd,         //UART发送端口
 
 input           key_send,        //发送按键
 input         [7:0] data_send,   //发送数据 
 output [7:0] LED          //接收数据LED显示
    );
    
//wire define   
wire       uart_en_w;                 //UART发送使能
wire [7:0] data_led;
assign LED=data_led;//接收数据LED显示
wire uart_txd_wire;
assign uart_txd=uart_txd_wire;
//串口接收模块     
uart_recv u_uart_recv(                 
    .sys_clk        (sys_clk),//外部10M时钟 
    .sys_rst_n      (sys_rst_n),//外部复位信号,低有效
    .uart_rxd       (uart_rxd),//UART接收端口
    .uart_done      (uart_en_w),
    .uart_data      (data_led)//接收数据LED显示
    );
 
//串口发送模块    
uart_send u_uart_send(                 
    .sys_clk        (sys_clk),//外部10M时钟 
    .sys_rst_n      (sys_rst_n),//外部复位信号,低有效
    .uart_en        (key_send),//发送按键
    .uart_din       (data_send),//发送数据
    .uart_txd       (uart_txd_wire)//UART发送端口
    );
endmodule
 源代码

 扫描文章末尾的公众号二维码

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值