【FPGA】正原子XC7A35T

25_实战篇:时钟IP核MMCM(第一讲:时钟资源讲解)_哔哩哔哩_bilibili

25时钟IP核MMCM

7系列的时钟资源

bufferG

bufferR

下图可视为一个FPGA(官方手册)

4

MRCC,SRCC

全局时钟:MRCC P

差分时钟:MRCC P,N

单时钟:接P

MMCM可以实现动态的调整,输出反向,PLL不行。除了这一点,两者完全相同

实战

选项卡分析

frequency sythesis:输出不同频率的时钟

phase alignment:相位关系

dynamic recording:动态调整输出时钟频率

safe clock startup:只有在输出稳定的时候有时钟

minimize power:电源优化

dynamic phase shift:动态调整输出时钟相位

  • 20
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值