基于FPGA的UDP 通信(一)

本文介绍了基于FPGA的以太网通信基础知识,包括以太网的传输速率、硬件接口类型,特别是MAC与PHY的交互,以及MII、GMII、RGMII接口的时序。文中还提到了千兆以太网硬件设计中的RJ-45接口和PHY芯片RTL8211的应用。
摘要由CSDN通过智能技术生成

引言

手头的FPGA开发板上有一个千兆网口,最近准备做一下以太网通信的内容。本文先介绍基本的理论知识。

FPGA芯片型号:xc7a35tfgg484-2

网口芯片(PHY):RTL8211

网络接口:RJ45


评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

在路上-正出发

哈哈,多少是个心意

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值