仿真tip

将目标文件名采集到某文件           find -name "*.sv" > filelist.f 

 vim 打开xrun.log文件 查找错误:/*E

 vim 打开sim.log文件 查找错误:/*error

在命令模式下敲斜杆( / )这时在状态栏(也就是屏幕左下脚)就出现了 “/” 然后输入你要查找的关键字敲回车就可以了。  
如果你要继续查找此关键字, 按n键,就可以自动把光标跳到下一个。 
敲字符N(大写N)就会向前查询;

怎么消除高亮呢,只要键入:noh                       就可以了。

xrun---仿真命令    sh run.sh     仿真文件   sim_db     脚本:makefile

vsc----编译命令    make comp   仿真文件   sim_vdb  脚本:run.sh

杀死进程

1.查找进程号

2.结束进程 

kill -9 进程号

终端快速复制文件名到命令: ls  双击文件名选中  在命令行点中键

 XRUN:

从文件打开波形:

1.source /tools/env_chip_logic.bashrc  [可选,当系统未配置source时]

2.simvision wave.shm

命令打开覆盖率:

1.imc -gui&   打开imc

2.左上角load_data

合并覆盖率:

注意脚本文件命名时后缀写为   .sh  

注意分支覆盖率路径到覆盖率文件的上一级目录

1.source /tools/env_chip_logic.bashrc  [可选,当系统未配置source时

2.最后在终端运行脚本名即可

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值