Verilog——阻塞非阻塞用法分析

阻塞赋值和非阻塞赋值总是容易把人绕晕,已经使用Verilog编程一段时间了,现在终于感觉清楚了一点阻塞和非阻塞赋值的具体规则:

  1. always块中的被赋值变量必须为reg型;
  2. alwyas@(posedge clk)类似的语句用来描述时序逻辑,赋值使用非阻塞赋值 “<=”;
  3. always @(*)语句用来描述复杂的组合逻辑电路,赋值使用阻塞赋值 “=”;
  4. assign语句只能描述组合逻辑,被赋值变量为wire型,赋值使用阻塞赋值 “=”;

阻塞赋值、非阻塞赋值名称的含义:

  • 阻塞赋值是说,多行赋值语句必须按顺序执行,上一行语句执行完才能执行下一行语句,“阻塞”着向下执行。
  • 非阻塞赋值是说,多行赋值语句满足赋值条件时同时执行,没有“阻塞”
  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值