Verilog——时钟3分频

Verilog——时钟3分频

功能实现

简单实现一个对输入时钟的3分频。相信2分频可能是一个FPGA的初学者最先实现的模块了,但是心里一直有个疑问,3分频如何实现?最近无意中在网络上发现了一个讲解3分频实现的博客(抱歉忘了博客网址),按其方法在Vivado上实现了3分频。

3分频模块实现

实现过程很简单:

  1. 对输入时钟进行0-2计数;
  2. 依据计数值生成占空比为1/3的3分频时钟;
  3. 占空比为1/3的3分频时钟向后延迟半个时钟(使用输入时钟下降沿触发延迟);
  4. 占空比为1/3的3分频时钟与其延迟时钟取或即可。
    源代码如下:
`timescale 1ns / 1ps
//功能:实现输入时钟的1.5倍频
//输入:时钟、复位
//输出:1.5倍频时钟

module top(
    input           clk200M     ,
    input           rst_n       ,
    output          freq3      //3倍频输出信号
);
reg     [1:0]   cnt;
reg             clk_13;
reg             clk_13_r;

//cnt,计数器
always @(posedge clk200M or negedge rst_n) begin
    if(~rst_n)
        cnt <= 2'd0;
    else if(cnt == 2'd2)
        cnt <= 2'd0;
    else 
        cnt <= cnt + 'd1;
end
//clk_13,生成时钟1/3占空比的信号
always @(posedge clk200M or negedge rst_n) begin
    if(~rst_n)
        clk_13 <= 1'b0;
    else if(cnt == 2'd2)
        clk_13 <= 1'b1;
    else 
        clk_13 <= 1'b0;
end
//clk_13_r,延迟半拍clk_13
always @(negedge clk200M or negedge rst_n) begin
    if(~rst_n)
        clk_13_r <= 1'b0;
    else
        clk_13_r <= clk_13;
end
//freq3,生成3倍频信号
assign freq3 = clk_13 | clk_13_r;

endmodule

仿真

仿真输入时钟和复位信号即可,不需要其他任何操作,源代码如下:

`timescale 1ns / 1ps

module tb_t36;
reg         clk200M     ;
reg         rst_n       ;
wire        freq3       ;

top top_inst(
    .clk200M        (       clk200M    ),
    .rst_n          (       rst_n      ),
    .freq3          (       freq3      ) //1.5倍频输出信号
);

initial begin
    clk200M = 1'b0;
    rst_n = 1'b0;
    #100;
    //
    rst_n = 1'b1;

end
//时钟
always #5 clk200M = ~clk200M;
endmodule

仿真结果如图所示。
在这里插入图片描述

  • 1
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
在Vivado中,时钟分频可以通过使用时钟IP核来实现。时钟IP核是一个常用的IP核,可以用于对输入的时钟信号进行倍频、分频和调整相位等操作。使用时钟IP核可以简化设计,并且提供了多种分频选项供用户选择。分频时,需要注意奇数分频可能需要在给出时钟的下降沿进行翻转,这在Verilog代码中可以通过硬件描述实现。然后,在综合设计时,Verilog代码将被映射到FPGA上的可用硬件资源上。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Vivado自写分频模块的分频时钟的约束条件编写](https://blog.csdn.net/hanberwer/article/details/129763404)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [vivado时钟IP核的使用](https://download.csdn.net/download/lgk1996/85329479)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [vivado代码编写——分频](https://blog.csdn.net/u011565038/article/details/113847649)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值